新聞中心

EEPW首頁 > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 基于FPGA的嵌入式以太網(wǎng)與Matlab通信系統(tǒng)的設(shè)計(jì)

基于FPGA的嵌入式以太網(wǎng)與Matlab通信系統(tǒng)的設(shè)計(jì)

作者: 時(shí)間:2012-02-15 來源:網(wǎng)絡(luò) 收藏

摘要:隨著單片可編程容量的日益增大,傳統(tǒng)的正在逐漸被片上所取代,用于數(shù)據(jù)片上也越來越備受關(guān)注,另外,數(shù)據(jù)采集的可視化及數(shù)據(jù)處理的簡(jiǎn)單化要求也越來越明顯,這兩方面,本文簡(jiǎn)要介紹了如何利用Xilinx公司的MicroBlaze微處理器軟核,以及相應(yīng)的操作系統(tǒng)Xilkemel和Lwip協(xié)議功能函數(shù),采用片上系統(tǒng)理念,來設(shè)計(jì)完成平臺(tái)的數(shù)據(jù)傳送交互系統(tǒng)。

本文引用地址:http://butianyuan.cn/article/149674.htm

O 引言

近年來,隨著信息技術(shù)的發(fā)展,網(wǎng)絡(luò)化日加普遍,被廣泛應(yīng)用到各個(gè)領(lǐng)域。例如在數(shù)據(jù)采集領(lǐng)域,一些小型監(jiān)測(cè)設(shè)備需要增加網(wǎng)絡(luò)實(shí)現(xiàn)遠(yuǎn)程數(shù)據(jù)傳輸?shù)墓δ?,只要那些設(shè)備上增加一個(gè)網(wǎng)絡(luò)接口并實(shí)現(xiàn)了TCP/IP協(xié)議,就可以方便地接入到現(xiàn)有的網(wǎng)絡(luò)中,完成遠(yuǎn)程傳輸數(shù)據(jù)的相關(guān)功能,所以小型設(shè)備的網(wǎng)絡(luò)技術(shù)一直是大家關(guān)注的焦點(diǎn)。另一方面,隨著單片的邏輯門數(shù)不斷增大,人們開始考慮將整個(gè)嵌入式系統(tǒng)集成到單片F(xiàn)PGA來實(shí)現(xiàn),于是2001年Altera第一次提出了可編程片上系統(tǒng)(SOPC)概念,并且推出了第一款嵌入式處理器軟核Nios以及之后的第二代Nios II以及相應(yīng)的開發(fā)環(huán)境,此后xilinx也推出了MicroBlaze微處理器軟核,之后,隨著Altera的CycloneIII和Stratix IV以及Xilinx的Spartan6和Virtex6等一系列大容量FPGA的推出,Xilinx于2009年正式提出了目標(biāo)平臺(tái)設(shè)計(jì)并且推出了相應(yīng)的軟件ISE 11,至此,嵌入式系統(tǒng)真正開始走向了片上系統(tǒng),自然,這中間也包括了以太網(wǎng)的嵌入式片上系統(tǒng)。

是美國(guó)MathWorks公司提供的商業(yè)數(shù)學(xué)仿真軟件,其中Simulink是中的一種可視化仿真工具,是一種框圖的設(shè)計(jì)環(huán)境,可以實(shí)現(xiàn)數(shù)據(jù)的仿真和處理,它提供了一種快速、直接明了的方式,用戶可以實(shí)時(shí)看到系統(tǒng)的仿真結(jié)果并且進(jìn)行相應(yīng)的數(shù)據(jù)處理?;谝陨鲜聦?shí),本文提出了基于FPGA的嵌入式以太網(wǎng)與Matlab通信系統(tǒng)的設(shè)計(jì)和研究,采用Xilinx公司的MicroBlaze嵌入式微處理器軟核,利用它和相應(yīng)外設(shè)IP核一起完成SOPC的設(shè)計(jì)并且完成與Simulink數(shù)據(jù)的傳輸,最后動(dòng)態(tài)顯示以太網(wǎng)傳輸?shù)臄?shù)據(jù)。

1 系統(tǒng)硬件平臺(tái)設(shè)計(jì)

1.1 系統(tǒng)總體硬件的結(jié)構(gòu)

在系統(tǒng)硬件結(jié)構(gòu)中,考慮到系統(tǒng)復(fù)雜度和成本因素,我們選用了Xilinx公司的Spatan3A系列的XC3S700A作為主控制芯片,該芯片為Xilinx的Spartan系列的低端FPGA,采用了65nm技術(shù),在集成度和性價(jià)比上都要優(yōu)于先前Spartan系列的FPGA,系統(tǒng)外掛一塊Micron公司的32M×16bits的DDR2芯片MT47H32M16作為外擴(kuò)SDRAM,以及一片Numonyx公司的16Mb的SPI Flash M25P16作為數(shù)據(jù)存儲(chǔ)器,而10/100Mb以太網(wǎng)我們采用單片PHY芯片加Xilinx的MAC軟核來實(shí)現(xiàn)。該方案將物理層和MAC分開,將MAC用IP來實(shí)現(xiàn),從而整個(gè)系統(tǒng)更加靈活。其中單片PHY芯片有BroadCom公司的BCM5221,Intel公司的LXT971A、LXT972A,SMSC公司的DM9000、LAN83C185等。這里我們采用SMSC公司的LAN83C185來實(shí)現(xiàn)物理層。

1.2 系統(tǒng)整體框圖

雖然Matlab中可以采用相關(guān)命令創(chuàng)建一個(gè)TCP/IP的模塊進(jìn)行數(shù)據(jù)的接收和顯示,但是與Simulink中TC/IP模塊相比較為繁鎖,因此選擇用后者動(dòng)態(tài)實(shí)時(shí)顯示從以太網(wǎng)發(fā)送過來的數(shù)據(jù),并可進(jìn)行相應(yīng)的處理。本設(shè)計(jì)主要是完成發(fā)送正弦函數(shù)數(shù)據(jù)并在Simulink的接收模塊中顯示正弦函數(shù)圖形。系統(tǒng)的整體的框圖如圖l所示:

2 MicroBlaze的系統(tǒng)硬件配置和Simulink接收塊的搭建

2.1 MicroBlaze和系統(tǒng)設(shè)計(jì)

Xilinx公司的MicroBlaze嵌入式軟核是業(yè)界優(yōu)秀的32位軟處理器IP核之一,它支持CroConnect總線標(biāo)準(zhǔn)設(shè)計(jì)集合,具有兼容性和重復(fù)利用性,最精簡(jiǎn)的核只需要400個(gè)左右的Slice,MicroBlaze軟核內(nèi)部采用哈佛結(jié)構(gòu)的32位指令和數(shù)據(jù)總線,便于各個(gè)外設(shè)和它們之間的信號(hào)傳輸及相應(yīng)的控制,它有下面的幾種互聯(lián)總線:

(1)處理器本地總線(PLB)??梢詫⒍鄠€(gè)PLB主設(shè)備和PLB從設(shè)備連接到整個(gè)的PLB系統(tǒng)中。

(2)高速的本地存儲(chǔ)器總線(LMB)。用來取RAM塊的同步總線。

(3)XCL總線。是一個(gè)高性能的外部?jī)?nèi)存訪問總線。

(4)FSL總線。用于點(diǎn)對(duì)點(diǎn)的單向通信總線。

使整個(gè)系統(tǒng)的軟硬件設(shè)計(jì),包括系統(tǒng)硬件平臺(tái)的搭建,驅(qū)動(dòng)程序的配置,Xilkernel操作系統(tǒng)內(nèi)的核參數(shù)配置,軟件庫(kù)的設(shè)置,文件系統(tǒng)的生成及外設(shè)控制芯片接口配置都可以在EDK(Embedded Development Kit)內(nèi)完成。EDK的整體開發(fā)流程如圖2所示。

整個(gè)系統(tǒng)設(shè)計(jì)具體操作如下:

(1)在EDK的集成開發(fā)環(huán)境XPS(Xilinx Platform. Studio)中,處理器功能單元,系統(tǒng)外圍總線結(jié)構(gòu),終端外設(shè)以及相應(yīng)的地址映射和默認(rèn)的驅(qū)動(dòng)等都可以在BSB(Base System Builder)中完成。在Microblaze系統(tǒng)的基本構(gòu)建中其主要設(shè)置如下:使用Single Processor System系統(tǒng),Local Memory為16k,系統(tǒng)時(shí)鐘頻率為62.5MHz,定時(shí)器和以太網(wǎng)中斷必須連接到處理器的中斷控制器上,另外,本設(shè)計(jì)還用到的GIOP、Ethernet MAC、DDR、SDRAM控制器等,其間用到的IP驅(qū)動(dòng),都是用xilinx提供IP的自帶默認(rèn)的相應(yīng)驅(qū)動(dòng)。

(2)最后XPS自動(dòng)生成微處理器硬件規(guī)范MHS(Microprocessor Hardware Specification)和描述軟件系統(tǒng)結(jié)構(gòu)的微處理器軟件規(guī)范MSS(Micro-processor Software Specification)文件以及一些相關(guān)的文件。這些文件都可以手動(dòng)進(jìn)行修改,從而是使整個(gè)系統(tǒng)更加的優(yōu)化。

(3)生成的系統(tǒng)最后生成bit文件,把其文件下載到目標(biāo)板子上。

2.2 Simulink接收模塊的搭建

Simulink中TCP/IP中的接收模塊,其終端的地址,端口的設(shè)置要與FPGA上的以太網(wǎng)的IP地址、端口的設(shè)置一致,這就為TCP/IP接收模塊指定了要通信的地址即完成了接收模塊TCP/IP的相關(guān)配置,也就完成了FPGA與Matlab中以太網(wǎng)通信的接收模塊的搭建,在Simulink中,具體的模塊設(shè)計(jì)如圖3所示。

通信數(shù)據(jù)通過此模塊可以較直觀地用圖形動(dòng)態(tài)顯示。

3 系統(tǒng)的軟件平臺(tái)及網(wǎng)絡(luò)協(xié)議的實(shí)現(xiàn)

3.1 軟件內(nèi)核和協(xié)議

本設(shè)計(jì)主要選擇了Xilinx公司的精簡(jiǎn)嵌入式操作系統(tǒng)Xilkemel,它是Xilinx提供的用于EDK系統(tǒng)的小型、模塊化的嵌入式操作系統(tǒng)內(nèi)核,它支持Microblaze核,與EDK形成的硬件系統(tǒng)無縫連接,具有可定制、CPU資源占用較小、運(yùn)行速度快等特點(diǎn),是MicroBlaze嵌入式軟核的理想操作系統(tǒng),其整體的開發(fā)流程如圖4所示。

網(wǎng)絡(luò)通訊協(xié)議我們采用TCP/IP協(xié)議,該通訊協(xié)議采用四層(應(yīng)用層、傳輸層、互連網(wǎng)絡(luò)層、網(wǎng)絡(luò)接口層)層級(jí)結(jié)構(gòu),每一層都呼叫它的下一層所提供的網(wǎng)絡(luò)來完成自己的需求,系統(tǒng)分為兩部分實(shí)現(xiàn):

第一部分為物理層和MAC層,本設(shè)計(jì)中用LAN83C185來實(shí)現(xiàn)物理層,MAC層由Xilinx公司的Ethernet MAC IP核,并作為整個(gè)MicroBlaze系統(tǒng)硬件的一部分在FPGA內(nèi)實(shí)現(xiàn)。

第二部分是運(yùn)輸層和網(wǎng)際層,主要由軟件代碼實(shí)現(xiàn)。TCP/IP網(wǎng)絡(luò)通信軟件允許用戶遠(yuǎn)程注冊(cè)到另一個(gè)系統(tǒng)中,并從一個(gè)系統(tǒng)復(fù)制文件到另一個(gè)系統(tǒng),雖然Xilkernel本身不帶有文件處理系統(tǒng)和TCP/IP協(xié)議棧,但它與Xilinx公司的LwIP庫(kù)具有良好的接口,加上系統(tǒng)支持庫(kù)LibXilMFS可形成一個(gè)比較完整的嵌入式系統(tǒng),其特點(diǎn)是內(nèi)核配置功能都已集成到EDK工具中,使用簡(jiǎn)單、方便,內(nèi)核啟動(dòng)靜態(tài)創(chuàng)建線程,而動(dòng)態(tài)分配內(nèi)存,可加載或卸載不同功能模塊來實(shí)現(xiàn)內(nèi)核的高擴(kuò)展性。

本設(shè)計(jì)主要采用LwIP3.OOb(Light weight Internet Protocol stack)協(xié)議模塊套用于嵌入式系統(tǒng)的開放源代碼TCP/IP協(xié)議棧中,LwI-P3.00b提供二種API模式:Socket模式和RWA模式,由于Socket模式開發(fā)難度不大,只要啟動(dòng)Xemacif input thread線程,從中斷響應(yīng)的過程中接收數(shù)據(jù)包并轉(zhuǎn)移到LwlP的tepip thread中就可以。所以基于方便考慮我們決定上層協(xié)議部分協(xié)議模塊采用Socket模式,因此需在MSS文件里對(duì)LwIP進(jìn)行例化(包括相應(yīng)的LwIP參數(shù)設(shè)置)從而減少存儲(chǔ)器利用量和代碼編寫。

3.2 網(wǎng)絡(luò)通信程序的實(shí)現(xiàn)與設(shè)計(jì)

網(wǎng)絡(luò)通信程序主要完成從超極終端發(fā)送數(shù)據(jù),傳到Simulink中的ICP/IP接收模塊。下面是主要的網(wǎng)絡(luò)通信程序:

Server_thread()函數(shù)是Xilkernel的第一個(gè)線程,初始化LwIP協(xié)議棧。

ServerAppThread()函數(shù)可完成MAC、IP、掩碼以及網(wǎng)關(guān)的配置,并完成Socket應(yīng)用。

以太網(wǎng)的配置如下:

當(dāng)服務(wù)器程序開始運(yùn)行時(shí),主進(jìn)程就創(chuàng)建一個(gè)套接字,

并通過bind函數(shù)綁定,用函數(shù)listen進(jìn)行*,之后用lwip_accept進(jìn)行接收,然后用sys_thread_new啟動(dòng)進(jìn)程,processGet和Process Command函數(shù)分析數(shù)據(jù)包的內(nèi)容并應(yīng)用web serber定義的相關(guān)的命令實(shí)現(xiàn)相關(guān)的應(yīng)用,write函數(shù)實(shí)現(xiàn)數(shù)據(jù)的發(fā)送,完成Socket服務(wù)并把數(shù)據(jù)發(fā)送給客戶端。

Simulink中用TCP/IP模塊,remote address參數(shù)設(shè)定為(211、80、192、237),端口設(shè)定為80,即與IP4_ADDR(&ipaddr,211,80,l92,237)和address.sin port=htons(80)設(shè)為一致,這樣就保證了接收與發(fā)送網(wǎng)絡(luò)地址和端口的一致性,保證數(shù)據(jù)傳輸?shù)目蓪?shí)現(xiàn)性。設(shè)計(jì)發(fā)送的數(shù)據(jù)為:t=0:0.25:10,Y=sin(2*pi/10*t),數(shù)據(jù)列表如下:

對(duì)應(yīng)的Simulink接收模塊的數(shù)據(jù)圖形顯示如圖5所示。

由圖5我們可以看出,接收到的數(shù)據(jù)和發(fā)送的數(shù)據(jù)基本一致。

4 結(jié)論

本文研究了TCP/IP通信協(xié)議在Xilinx公司FPGA上的實(shí)現(xiàn),介紹了其軟硬件的系統(tǒng)組成及原理,通過建立一個(gè)例子加以說明和應(yīng)用這個(gè)設(shè)計(jì)平臺(tái),證明了此平臺(tái)設(shè)計(jì)可行性,并且完成了FPGA與Matlab的通信,為數(shù)據(jù)的實(shí)時(shí)顯示及實(shí)時(shí)控制提供了很好的平臺(tái)和設(shè)計(jì)方法,本設(shè)計(jì)也完成了CPU軟核設(shè)計(jì)的實(shí)現(xiàn),其功能可根據(jù)需要進(jìn)行定制,非常靈活,不但引入了軟核處理器和嵌入式操作系統(tǒng)Xilkernel,而且應(yīng)用了Lw-IP_300b棧,使用大量的IP核,這樣大大降低了系統(tǒng)平臺(tái)的復(fù)雜度,縮短了開發(fā)的周期,其軟硬件部分的設(shè)計(jì)分離的設(shè)計(jì)架構(gòu),使得整個(gè)系統(tǒng)修改和重構(gòu)更加方便,真正實(shí)現(xiàn)了所謂的片上系統(tǒng)。而本設(shè)計(jì)采用Matlab接收數(shù)據(jù),并且可利用其強(qiáng)大的數(shù)據(jù)采集、處理、仿真、實(shí)時(shí)動(dòng)態(tài)顯示的功能來更好完成數(shù)據(jù)分析研究。

linux操作系統(tǒng)文章專題:linux操作系統(tǒng)詳解(linux不再難懂)

fpga相關(guān)文章:fpga是什么


通信相關(guān)文章:通信原理




評(píng)論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉