新聞中心

EEPW首頁(yè) > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 基于現(xiàn)代DSP技術(shù)的QPSK調(diào)制器的設(shè)計(jì)

基于現(xiàn)代DSP技術(shù)的QPSK調(diào)制器的設(shè)計(jì)

作者: 時(shí)間:2011-04-21 來(lái)源:網(wǎng)絡(luò) 收藏

摘要:為了提高系統(tǒng)的開(kāi)發(fā)效率,引入了,并由此。依據(jù)調(diào)制的基本原理,利用MATLAB/Simulink Builder和Quartusll搭建模型,在模塊的形成方式上,采用DSP Builder中的模塊代替VHDL編程,在同一平臺(tái)上實(shí)現(xiàn)了系統(tǒng)建模和硬件實(shí)現(xiàn)的有機(jī)結(jié)合,然后利用ALTERA公司提供的Signal Compile進(jìn)行編譯,產(chǎn)生VHDL源程序,同時(shí),采用ALTERA公司的Cyclone系列芯片EP2C35F6 72C6N實(shí)現(xiàn)調(diào)制。結(jié)果表明,該方法提高了的靈活性,通過(guò)軟件仿真和硬件測(cè)試驗(yàn)證了方案的正確性和可行性。
關(guān)鍵詞:四相相移鍵控調(diào)制;FPGA;DSP;QuartusⅡ

四相相移鍵控調(diào)制(Quaternary Phase Shift Keying,QPSK)是一種線(xiàn)性窄帶數(shù)字調(diào)制,它已經(jīng)在數(shù)字調(diào)制技術(shù)中占有重要的地位,被廣泛地應(yīng)用于衛(wèi)星通信、移動(dòng)通信、視頻會(huì)議系統(tǒng)、蜂窩電話(huà)和其它數(shù)字通信領(lǐng)域。具有頻帶利用率高、頻譜特性好、抗衰落性能強(qiáng)、較低的比特錯(cuò)誤率等優(yōu)點(diǎn)。
DSP技術(shù)主要是指將DSP的基本理論和算法付諸實(shí)現(xiàn)的途徑和方法。傳統(tǒng)的DSP技術(shù)是當(dāng)前廣泛使用的DSP處理器的解決方案,而這種解決方案日益面臨著不斷增加的巨大挑戰(zhàn),自身的技術(shù)瓶頸導(dǎo)致這種解決方案在DSP許多新的應(yīng)用領(lǐng)域中的道路越走越窄。而DSP技術(shù)是相對(duì)于傳統(tǒng)DSP技術(shù)而言的,是可編程片上系統(tǒng)SOPC(System on a Programmable Chip)技術(shù)、EDA技術(shù)與FPGA實(shí)現(xiàn)方式的DSP技術(shù),是現(xiàn)代電子技術(shù)發(fā)展的產(chǎn)物,它有效地克服了傳統(tǒng)DSP技術(shù)中的許多瓶頸,在許多方面顯示了突出的優(yōu)勢(shì),如高速與實(shí)時(shí)性,高可靠性,自主知識(shí)產(chǎn)權(quán)化,系統(tǒng)的重配置與硬件可重構(gòu)性,單片DSP系統(tǒng)的可實(shí)現(xiàn)性以及開(kāi)發(fā)技術(shù)的標(biāo)準(zhǔn)化和高效率。QPSK采用MATLAB/Simulink DSP Builder開(kāi)發(fā)出用于QPSK調(diào)制的正交信號(hào)產(chǎn)生單元,在電路模塊的形成方式上用DSP Builder的模塊調(diào)用代替繁瑣的VHDL程序,從而方便的得到了所需的結(jié)果。系統(tǒng)的實(shí)現(xiàn)以FPGA為物理載體,與傳統(tǒng)的硬件描述語(yǔ)言的設(shè)計(jì)相比,這種流程更快捷方便靈活。

1 QPSK調(diào)制原理
所謂的QPSK調(diào)制就是利用載波的四種不同相位來(lái)表征數(shù)字信息,每一種載波相位代表兩個(gè)二進(jìn)制代碼元信息。由于每一個(gè)載波相位代表兩個(gè)二進(jìn)制碼元信息,所以每四個(gè)二進(jìn)制碼元又被稱(chēng)為雙比特碼元。
QPSK信號(hào)的表示式為
a.JPG
將式(1)寫(xiě)成
b.JPG
I(t),Q(t)為+1或-1。則式(3)即為QPSK的數(shù)學(xué)表達(dá)式。
QPSK信號(hào)的調(diào)制可分為相位選擇法和調(diào)相法,本文采用調(diào)相法進(jìn)行設(shè)計(jì),其調(diào)制框圖如下圖1所示。

本文引用地址:http://www.butianyuan.cn/article/150838.htm

f.jpg


圖1中,串/并變換器將輸入的二進(jìn)制序列依次分為兩個(gè)并行的雙極性碼序列。設(shè)二進(jìn)制數(shù)分別為a和b。雙極性的a和b脈沖通過(guò)兩個(gè)平衡分別對(duì)同相載波和正交載波進(jìn)行二相調(diào)制,兩路輸出疊加后就可以得到QPSK信號(hào)。

2 DSP Buildter的QPSK設(shè)計(jì)
DSP Builder可完成圖形化的系統(tǒng)建模、設(shè)計(jì)、仿真、把設(shè)計(jì)軟件下載到FPGA開(kāi)發(fā)板上。它是一個(gè)系統(tǒng)級(jí)的開(kāi)發(fā)工具,架構(gòu)在多個(gè)軟件之上,并把系統(tǒng)級(jí)和RTL級(jí)兩個(gè)設(shè)計(jì)領(lǐng)域的設(shè)計(jì)工具連接起來(lái),最大程度的發(fā)揮了兩種工具的優(yōu)勢(shì)。DSP Builder依賴(lài)MathWorks公司的數(shù)學(xué)分析工具M(jìn)atlab/Simulink,以Simulink的Blockset出現(xiàn),可以在Simulink中進(jìn)行圖形化設(shè)計(jì)和仿真,同時(shí)通過(guò)SignalCompiler可以把Matlab /Simulink的設(shè)計(jì)文件(.mdl)轉(zhuǎn)成相應(yīng)的硬件描述語(yǔ)言VHDL設(shè)計(jì)文件(.vhd),以及用于控制綜合與編譯的TCL腳本。而對(duì)后者的處理可以由FPGA/CPLD開(kāi)發(fā)工具QuartusⅡ來(lái)完成。


上一頁(yè) 1 2 下一頁(yè)

評(píng)論


相關(guān)推薦

技術(shù)專(zhuān)區(qū)

關(guān)閉