新聞中心

EEPW首頁 > EDA/PCB > 市場分析 > 14納米:“六仙”過海 各顯神通

14納米:“六仙”過海 各顯神通

作者: 時間:2013-08-22 來源:中國電子報 收藏

  摩爾定律即將敲響終止的音符,業(yè)界對于半導體業(yè)的前景也產(chǎn)生了各種看法,其中從大的方面,包括從工藝來看,在14nm之后如何往下走,包括10nm、7nm甚至5nm以及450mm硅片的進程等。顯然時至今日尚沒有非常清楚的路線圖,但是硅基半導體之后采用什么材料仍值得人們期待。

本文引用地址:http://butianyuan.cn/article/159198.htm

  :繼續(xù)執(zhí)行“Tick-Tock”發(fā)展策略

  預計2014年導入14nm制程量產(chǎn),2015年導入10nm制程,并計劃于2017年達到7nm。

  據(jù)已經(jīng)公布的工藝路線圖顯示,在2013年時將實現(xiàn)14nm,之后能否繼續(xù)遵循每兩年尺寸縮小70%的工藝規(guī)則,至少到目前為止業(yè)界認為仍是難以確定。至此業(yè)界各家僅是表示工藝尺寸有可能縮小至7nm甚至5nm。

  顯然英特爾的說法不一樣,仍顯示出其頭號芯片制造商的決心與信心。英特爾副總經(jīng)理兼元件研究處長麥克(Mike Mayberry)在剛剛落幕的比利時微電子研究機構(gòu)IMEC2013科技論壇演講中確認,英特爾已確定10nm可于2015年量產(chǎn)。根據(jù)它的最新工藝技術(shù)路線圖,英特爾重申繼續(xù)執(zhí)行“Tick-Tock”發(fā)展策略,也就是每兩年對半導體技術(shù)制程進行大規(guī)模的升級。英特爾預計2014年導入14nm制程量產(chǎn),2015年導入10nm制程,并計劃于2017年可達7nm的最先進水平。不過,Mike Mayberry還表示,英特爾也在研發(fā)10nm以下時可替代硅的新型半導體材料,如三五族化合物半導體等,希望能將半導體的性能發(fā)揮至極致。

  眾所周知,目前英特爾在移動智能終端芯片的軟肋在于功耗,它堅持采用復雜的X86架構(gòu),基本上是延續(xù)電腦發(fā)展的思考模式,僅專注于產(chǎn)品的效能增減來試圖降低功耗,而ARM的思路是采用大小核,根據(jù)不同的用途來選擇。

  英特爾執(zhí)行副總裁兼架構(gòu)事業(yè)部總經(jīng)理浦大衛(wèi)(DadiPerlmutter)近期在中國臺灣舉行的Computex貿(mào)易展會上接受采訪時表示,英特爾與ARM在芯片耗電量和性能方面的競爭將結(jié)束,因為英特爾即將推出的基于Silvermont架構(gòu)的移動芯片,在耗電量和性能方面都超過ARM速度最快的內(nèi)核Cortex-A15。

  浦大衛(wèi)表示,Silvermont芯片將通過改進電路和電源管理功能提高每瓦性能,Silvermont芯片將采用22nm工藝制造,采用效率更高的FinFET 3D晶體管結(jié)構(gòu)。

  新一代凌動“Silvermont”采用了全新的制造工藝和設計,提高了性能,降低了功耗。使用Silvermont微架構(gòu)的智能手機用平臺的開發(fā)代碼為“Merrifield”,平板電腦用平臺的開發(fā)代碼為“Bay Trail”。Merrifield將從2014年第一季度開始供貨,支持Android操作系統(tǒng)。平板電腦平臺“Bay Trail-T”將于2013年秋季推出,支持Android和Windows 8操作系統(tǒng)。據(jù)稱,Silvermont的圖形處理性能提升到原來的3倍,還可以向高分辨率顯示器輸出影像,而且續(xù)航時間更長。Bay Trail除了可應用在平板電腦之外,還可應用在包括低價位二合一終端、筆記本電腦、顯示器、一體型個人電腦等。

  英特爾在2012年的研發(fā)費用相比于排名第二的高通多出7倍,它在2013年的投資將超過臺積電。英特爾在2013年會將22nm的FinFET工藝生產(chǎn)用于移動設備中的凌動(Atom)芯片,2014年時還將擴展到14nm工藝中去。

  IBM:FD-SOI是22nm強勢候選技術(shù)

  盡管SOI技術(shù)有優(yōu)勢,但繼續(xù)往14nm及以下節(jié)點走時可能會遇到困難。

  IBM公司半導體研發(fā)中心的副總裁Gary Patton最近表示FD-SOI是22nm制程節(jié)點的強勢候選技術(shù)。

  目前有很多高性能應用中可能會需要使用FD-SOI技術(shù)。SOI是指在IC制造過程中采用硅+絕緣層+硅的硅片,這種結(jié)構(gòu)方式的優(yōu)勢是可以減小器件的寄生電容,并改善器件的性能。

  在部分耗盡型SOI結(jié)構(gòu)中,SOI中頂層硅層的厚度為50nm~90nm,因此溝道下方的硅層中僅有部分被耗盡層占據(jù),由此可導致電荷在耗盡層以下的電中性區(qū)域中累積,造成所謂的浮體效應。而FD-SOI可將位于頂層的硅層厚度減薄至5nm~20nm,這樣器件在工作時柵極下面溝道位置下方的耗盡層便可充滿整個硅薄膜層,如此便可消除在PD-SOI(部分耗盡層)中常見的浮體效應。

  盡管SOI技術(shù)有優(yōu)勢,可以繼續(xù)沿用現(xiàn)有的平面制造工藝,但是由于SOI硅片的成本至少高出10倍左右,再加上至20nm工藝時頂層硅的厚度已降至6.3nm,厚度精度控制在±0.5nm之間,因此繼續(xù)往14nm及以下節(jié)點走時可能會遇到困難。目前參與SOI俱樂部的制造商有IBM、意法半導體、Soitec、格羅方德,設計服務公司有上海的芯原及另一家不知名的日本公司等,而真正用于量產(chǎn)的產(chǎn)品僅是意法半導體采用28nm工藝制程的SoC。

  SOI工藝令人頭疼的另一個問題是此種技術(shù)是否能適合在移動設備市場中使用,業(yè)界對于SOI晶體管的所謂“歷史效應”和尺寸可微縮空間保持懷疑的態(tài)度,因此多年來SOI技術(shù)一直只在部分高端臺式機處理器和其他高性能應用中才有應用,SOI中熱的不良導體BOX層(埋入式氧化物層)導致的散熱劣勢應該也是其原因之一。

  臺積電:2014年推出16nm FinFET工藝

  臺積電的著眼點不僅在于工藝制程的縮小,同樣也聚焦在CoWoS即所謂3D IC制造上。

  臺積電首席技術(shù)官兼研發(fā)副總裁孫元成在2013年4月已經(jīng)透露其官方的CMOS工藝路線圖,從2013年先進的20nm平面SoC開始,至2014年臺積電將采用16nm節(jié)點的FinFET工藝制程,使用低供電電壓,從0.8V降至 0.6V,從而使超低功耗處理器如ARMv8盡可能降低功耗至750毫瓦。接下來,臺積電計劃在2015年至2016年間,通過直寫電子束或者極紫外光、EUV光刻技術(shù)將其FinFET的工藝提升至10nm節(jié)點,以提高35%的性能。

  盡管臺積電預計它的16nm FinFET與20nm制程僅差距一個世代,于2015年時推出,而它的10nm制程于2017年導入量產(chǎn),但是與英特爾不斷領(lǐng)先的工藝制程技術(shù)相比,可能仍有兩年的差距。但是臺積電在張忠謀的領(lǐng)導下,它的投資力度很大,而且業(yè)績節(jié)節(jié)上升,所以臺積電的龍頭地位恐暫時無人能夠撼動。

  顯然臺積電的著眼點不僅在于工藝制程的縮小,同樣也聚焦在CoWoS即所謂3D IC制造上,利用TSV等3D IC技術(shù),將異質(zhì)架構(gòu)的多個芯片封裝在一體。

  目前,Xilinx(賽靈思)是臺積電公布的第一代3D技術(shù)的唯一客戶。第一代3D技術(shù)也稱作2.5 D硅中介(interposer)技術(shù),用于整合多個FPGA和其他芯片。

  三星:完成14nm FinFET測試芯片流片

  三星的14nm FinFET工藝設計套件已經(jīng)提供給客戶,相關(guān)產(chǎn)品設計也可開始提供,但三星并未披露會何時投入量產(chǎn)。

  三星是全球DRAM與NAND閃存都居第一位的存儲器制造大廠,實力非凡。近年來由于移動市場的興起,存儲器的需求已不如從前。三星要爭先的意識非常強烈,它迅速積極地轉(zhuǎn)型到邏輯工藝,并在美國奧斯汀累計投資達60億美元興建12英寸晶圓廠。由于三星電子的產(chǎn)業(yè)鏈很完整,自身生產(chǎn)終端電子產(chǎn)品包括智能手機、平板電腦、電視、冰箱等,所以它的邏輯芯片除了部分自用之外,還可將富裕的產(chǎn)能做代工服務,并首先爭取到蘋果的A系列處理器訂單。

  2013年第一季度三星在28nm~32nm制程的12英寸晶圓月產(chǎn)能平均為22.5萬片,約占全球代工的50%,遠高于臺積電的11萬片。排名第三的格羅方德為6.5萬片。

  在2012全球代工廠商排名中三星以43.3億美元的業(yè)績擠下UMC(聯(lián)電)成為第三, 相比2011年增長一倍。不僅如此,它與格羅方德的銷售額差距僅為兩億美元,所以三星非常有可能在2013年代工排名中成為老二。

  作為14nm FinFET工藝開發(fā)的一部分,三星聯(lián)合ARM、Cadence、Mentor、Synopsys等生態(tài)伙伴,已完成了多種測試芯片的流片工作,包括完整的ARM Cortex-A7處理器、可在接近閾值電壓下工作的SRAM芯片、模擬IP陣列等。

  14nm Cortex-A7處理器的成功流片是三星14nm工藝的最關(guān)鍵性突破,也是Fabless的新希望。Cortex A7、A15是天生一對,在ARM big.LITTLE策略中分別負責低功耗、高性能,而此番在FinFET工藝上部署成功,也驗證了ARM新平臺的未來可行性。下一步就應該是嘗試流片Cortex-A15了。

  三星表示,對比目前的32nm/28nm HKMG工藝,14nm FinFET工藝會進一步大大改善SoC芯片的漏電率和動態(tài)功耗。

  三星的14nm FinFET工藝設計套件已經(jīng)提供給客戶,相關(guān)產(chǎn)品設計也可開始提供,但三星并未披露會何時投入量產(chǎn)。

  格羅方德:14nm和10nm都將導入FinFET

  格羅方德的10nm與14nm XM都是所謂的混合制程,10nm就是運用14nm的設備與設計工具,制造線寬約為10nm的芯片。

  格羅方德的目標很高,技術(shù)長蘇比(SubiKengeri)認為移動裝置電子產(chǎn)品內(nèi)的芯片對于晶圓先進制程的需求將會高度增長,依2011年到2016年的預測,40nm以下先進制程的晶圓年復合成長率達37%,到2016年時產(chǎn)值在全球晶圓代工的比重將高達60%。

  為了搶攻這一波移動商機,格羅方德在2012年已經(jīng)開始準備14nm XM制程,計劃于2014年量產(chǎn),并宣布它的10nm制程將在2015年量產(chǎn),兩種制程都將導入FinFET的3D工藝。

  格羅方德的10nm與14nm XM都是所謂的混合制程,例如14nm就是采用20nm的設備與設計工具做出線寬14nm的芯片,10nm就是運用14nm的設備與設計工具,制造線寬約為10nm的芯片。

  相較于臺積電暫先不做14nm制程,而是推出16nm FinFET,蘇比認為公司之所以開發(fā)14nm制程,是因為英特爾不斷進軍移動市場,使得臺積電公司的客戶感受到巨大的壓力。

  格羅方德預計20nm制程在2013年下半年推出,與臺積電幾乎同步,公司的12英寸廠包括德國德勒斯登的晶圓一廠(Fab1)與紐約八廠(Fab8),各有4萬片與6萬片的月產(chǎn)能,其中Fab8將導入28nm以下最先進制程。

  格羅方德技術(shù)長蘇比近期赴中國臺灣,宣稱兩年內(nèi)將拿下全球晶圓代工技術(shù)的龍頭地位,繼14nmXM制程于2014年量產(chǎn)之后,在2015年將開始10nm制程量產(chǎn),這樣的進度相比臺積電可能領(lǐng)先兩年,也幾乎與英特爾同步。

  聯(lián)電:14nm FinFET工藝推出時間可能生變

  對于聯(lián)電來說最大的問題是速度,其14nm FinFET工藝的推出時間可能生變。

  讓業(yè)界產(chǎn)生驚奇的是,近期聯(lián)電也宣布與IBM合作,開發(fā)14nm甚至10nm工藝制程,反映在代工領(lǐng)域中幾乎沒有人掉隊。它的FinFET工藝的授權(quán)同樣來自IBM公司,因此具體做法與格羅方德應該是相似的,都是在20nm后端工藝上采用14nm的FinFET晶體管結(jié)構(gòu)。唯一讓業(yè)界生疑的是它的FinFET工藝是采用體硅材料,還是UT SOI片。

  對于聯(lián)電來說最大的問題是速度,格羅方德將在2014年啟用14nm XM工藝(如果不出意外的話),而聯(lián)電之前曾公布過一個計劃即將在2014年下半年實現(xiàn)14nm的FinFET工藝。但是考慮到聯(lián)電在2014年時才會上馬28nm HKMG工藝,中間隔了一個20nm工藝,因此它的14nm FinFET工藝的推出時間可能生變。

  14nm工藝是個壁壘,也是一個“坎”,能夠跨越的廠商已不會超過10家,其中有技術(shù)問題,可能更多的是經(jīng)濟問題。因為14nm工藝研發(fā)與制造的費用太大,而市場缺乏足夠的需求來填補。但是在半導體業(yè)界中,目前各家代工商盡其所能互相爭艷,市場競爭最終只剩下勝利者。

  14nm是個“坎”

  尺寸縮小是推動產(chǎn)業(yè)進步的“靈舟妙藥”,每兩年尺寸縮小70%的魔咒至此沒有延緩的跡象,2011年是22nm工藝,到2013年工藝應該到14nm。眾所周知,尺寸縮小僅是一種手段,如果缺乏尺寸縮小而帶來的紅利,業(yè)界不會盲目跟進。依目前的態(tài)勢,業(yè)界已然有所爭議,有人認為由28nm向22nm過渡時成本可能反而上升,這或是產(chǎn)業(yè)過渡過程中的正常現(xiàn)象。

  全球半導體業(yè)中還能繼續(xù)跟蹤14nm工藝節(jié)點者可能尚余不到10家,包括英特爾、三星,臺積電、格羅方得、聯(lián)電、東芝、海力士、美光等。顯然在半導體業(yè)中領(lǐng)軍尺寸縮小的企業(yè)是NAND閃存及CPU制造商及一批FPGA廠商。而如臺積電等代工制造商,由于從市場需求出發(fā),通常工藝制程會落后一代。由此也并非表示代工模式一定會落后于IDM,因為市場經(jīng)濟是需要權(quán)衡技術(shù)能力與成本的。近期也出現(xiàn)如FPGA的Altera跳過臺積電而直接尋求與英特爾合作開發(fā)14nm FPGA,反映市場的錯蹤復雜。

  眾所周知,尺寸縮小僅是一種手段,如何繼續(xù)往下走,似乎業(yè)界把希望押寶在FinFET 3D工藝與EUV光刻上。從長遠來看,集成電路產(chǎn)業(yè)的發(fā)展總是在性能、成本和功耗三者之間做平衡,由市場做出最后的選擇。應在保持性能的前提下,盡可能地降低成本,同時在保持性能與成本的前提下應該盡可能地降低功耗。

  市場調(diào)研機構(gòu)Gartner的分析師Dean Freeman日前表示,目前半導體業(yè)界所面臨的情況與上世紀80年代的情形非常相似,當時業(yè)界為了擺脫面臨的發(fā)展瓶頸,開始逐步采用CMOS技術(shù)來制造內(nèi)存和邏輯芯片,從而開創(chuàng)了半導體業(yè)界的新紀元。而目前采用FinFET的3D工藝會否產(chǎn)生同樣的光環(huán),業(yè)界值得期待。

  14nm納米是個壁壘或者“坎”。盡管英特爾至今并沒有疑慮,仍堅挺采用193nm浸液式光刻加上兩次圖形曝光等輔助技術(shù),將于2013年底時會推出14nm的測試芯片,并于2014年開始量產(chǎn)。然而在業(yè)界似乎已產(chǎn)生分歧,如臺積電從20nm之后的下一個工藝節(jié)點設定為16nm。

  對于22nm/16nm級別的工藝制程,業(yè)界認為有多種晶體管結(jié)構(gòu)可供選擇,包括III-V族溝道技術(shù)、體硅技術(shù)、FinFET立體晶體管技術(shù)、FD-SOI全耗盡型平面晶體管技術(shù)以及多柵立體晶體管技術(shù)等。但是依目前的分析來看,自14nm(包括14nm)之后,采用FinFET 3D結(jié)構(gòu)工藝或?qū)⒊蔀橹髁骷夹g(shù)。

  在現(xiàn)階段尚有兩種技術(shù)在互相爭艷:一種是如英特爾表示會在22nm制程中開始采用FinFET結(jié)構(gòu)的三柵晶體管技術(shù)。另一種是如IBM、意法半導體等公司表示考慮在22nm制程節(jié)點時采用FD-SOI或者FD-UT SOI全耗盡技術(shù)。IBM公司曾經(jīng)在前兩年展示了一種基于超薄的FD-UT SOI工藝。此種工藝技術(shù)的優(yōu)點是仍然基于傳統(tǒng)的平面型晶體管結(jié)構(gòu),不過這種工藝的SOI的硅層厚度非常薄,在5nm~6nm之間,這樣便于形成全耗盡(FD)結(jié)構(gòu),能夠顯著減小短溝道效應(SCE)的影響。

  盡管英特爾與IBM雙方采用的工藝技術(shù)路線不盡相同,然而市場經(jīng)濟是公平的,雙方都會各展所長,根據(jù)市場需求做出權(quán)衡。

晶體管相關(guān)文章:晶體管工作原理


晶體管相關(guān)文章:晶體管原理
漏電開關(guān)相關(guān)文章:漏電開關(guān)原理


關(guān)鍵詞: 英特爾 14納米

評論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉