新聞中心

EEPW首頁(yè) > 光電顯示 > 設(shè)計(jì)應(yīng)用 > 基于FPGA的四階IIR數(shù)字濾波器設(shè)計(jì)

基于FPGA的四階IIR數(shù)字濾波器設(shè)計(jì)

作者: 時(shí)間:2012-05-02 來(lái)源:網(wǎng)絡(luò) 收藏

摘要:采用實(shí)現(xiàn)四階,通過(guò)兩個(gè)二階節(jié)級(jí)聯(lián)構(gòu)成橢圓低通。通帶內(nèi)波紋小于0.1dB,阻帶衰減大于32dB。

本文引用地址:http://www.butianyuan.cn/article/168076.htm
常用的有FIR數(shù)字濾波器和IIR數(shù)字濾波器。FIR數(shù)字濾波器具有精確的線性相位特性,在信號(hào)處理方面應(yīng)用極為廣泛,而且可以采用事先調(diào)試好的FIR數(shù)字濾波器IP Core來(lái)完成,例如Altera公司提供的針對(duì)Altera系列可編程器件的MegaCore,但是需要向Altera公司購(gòu)買或申請(qǐng)?jiān)囉冒?。另外,?duì)于相同的指標(biāo),FIR濾波器所要求的階數(shù)比IIR濾波器高5~10倍,成本較高,而且信號(hào)的延遲也較大。IIR濾波器所要求的階數(shù)不僅比FIR濾波器低,而且可以利用模擬濾波器的設(shè)計(jì)成果,設(shè)計(jì)工作量相對(duì)較小,采用FPGA實(shí)現(xiàn)的IIR濾波器同樣具有多種優(yōu)越性。
IIR濾波器主要有巴特沃斯濾波器、切比雪夫?yàn)V波器和橢圓濾波器幾種。給出了以上三種濾波器實(shí)現(xiàn)同樣性能指標(biāo)所需的階數(shù)及阻帶衰減的比較,如表1所示。
表1 三種濾波器的性能比較

原 型

階 數(shù)
阻帶衰減/dB
巴特沃斯
6
15
切比雪夫I型
4
25
橢圓函數(shù)
3
27

由表1可見(jiàn),橢圓濾波器給出的設(shè)計(jì)階數(shù)比前兩種低,而且頻率特性較好,過(guò)渡帶較窄,但是橢圓濾波器在通帶上的非線性相位響應(yīng)最明顯。本系統(tǒng)選用橢圓函數(shù)濾波器進(jìn)行設(shè)計(jì)。
1 原理分析
數(shù)字濾波器實(shí)際上是一個(gè)采用有限精度算法實(shí)現(xiàn)的線性非時(shí)變離散系統(tǒng),它的設(shè)計(jì)步驟為:首先根據(jù)實(shí)際需要確定其性能指標(biāo),再求得系統(tǒng)函數(shù)H(z),最后采用有限精度算法實(shí)現(xiàn)。
根據(jù)需要,本系統(tǒng)的設(shè)計(jì)指標(biāo)為:模擬信號(hào)采樣頻率為2MHz,每周期最少采樣20點(diǎn),即模擬信號(hào)的通帶邊緣頻率為fp=100kHz,阻帶邊緣頻率fs=1MHz,通帶波動(dòng)Rp不大于0.1dB(通帶誤差不大于5%),阻帶衰減As不小于32dB。換算為數(shù)字域指標(biāo)為:Wp=0.1π,Ws=0.2π,Rp=0.1dB,As=32dB。系統(tǒng)函數(shù)H(z)的計(jì)算采用Matlab軟件比較方便,其中有兩個(gè)現(xiàn)成的函數(shù)可以使用:ellipord(wp/pi,ws/pi,Rp,As)函數(shù)用來(lái)計(jì)算數(shù)字橢圓濾波器的階次N和3dB截止頻率wn,而ellip(N,Rp,As,wn)函數(shù)可以求得直接型橢圓IIR濾波器的各個(gè)系數(shù)。通過(guò)調(diào)用以上兩個(gè)函數(shù)計(jì)算得到的系統(tǒng)函數(shù)H(z)為:

這是一個(gè)四階IIR系統(tǒng),Matlab計(jì)算出該系統(tǒng)的頻率響應(yīng)如圖1所示,可見(jiàn)滿足設(shè)計(jì)要求。
如果采用直接型結(jié)構(gòu)實(shí)現(xiàn),需用的乘法器和延遲單元相對(duì)較多,而且分子和分母的系數(shù)相差較大,需要較多的二進(jìn)制位數(shù)才能實(shí)現(xiàn)相應(yīng)的精度要求。
如果采用二階節(jié)級(jí)聯(lián)實(shí)現(xiàn),一來(lái)各基本節(jié)的零點(diǎn)、極點(diǎn)可以很方便地單獨(dú)進(jìn)行調(diào)整,二來(lái)可以降低對(duì)二進(jìn)制數(shù)位數(shù)的要求。給出了一個(gè)直接型結(jié)構(gòu)轉(zhuǎn)為級(jí)聯(lián)型結(jié)構(gòu)的dir2cas.m文件,利用該函數(shù)求得系統(tǒng)函數(shù)的級(jí)聯(lián)表達(dá)形式為:
H(z)=H1(z)×H2(z)=(0.11-0.1041z -1+0.11z -2)/(1-1.58z -1+0.6469z -2)×(0.2464-0.426z -1+0.2464z -2)/(1-1.7753z -1+0.892z -2)
由上式可以看出,每個(gè)二階節(jié)的分子、分母系數(shù)差異減少了。值得注意的是,在分配二階節(jié)的增益時(shí),要保證每個(gè)節(jié)不會(huì)發(fā)生運(yùn)算溢出,可以先用Matlab軟件分析計(jì)算來(lái)合理安排各節(jié)的增益。經(jīng)過(guò)計(jì)算,本文采用第一級(jí)分配0.11,第二級(jí)分配0.2464,可以保證在要求的輸入范圍,沒(méi)有數(shù)據(jù)溢出發(fā)生。
2 系統(tǒng)實(shí)現(xiàn)
將第一個(gè)二階節(jié)的系統(tǒng)函數(shù)表示為差分方程:
y1(n)=a0x(n)-a1x(n-1)+a2x(n)+b0y(n-1)-b1y(n-2)
=0.11x(n)-0.1041x(n-1)+0.11x(n)+1.58y(n-1)-0.6469y(n-2)
可以看出,一個(gè)二階節(jié)的實(shí)現(xiàn)需要五次乘法運(yùn)算、四次加法運(yùn)算(采用二進(jìn)制補(bǔ)碼將減法運(yùn)算變?yōu)榧臃ㄟ\(yùn)算)。兩個(gè)二階節(jié)共需要十次乘法運(yùn)算。雖然現(xiàn)在已有上千萬(wàn)門的FPGA產(chǎn)品可供選用,但是一般應(yīng)用時(shí)全部采用硬件陣列乘法器畢竟不太合適,而如果采用串行乘法器進(jìn)行分時(shí)復(fù)用,其工作速度也不太理想。
本文采用一個(gè)折中的方法實(shí)現(xiàn),即乘加單元(MAC)的乘法器采用陣列乘法器,而不使用串行乘法器,以提高運(yùn)算速度。需要注意的是,MAX+plusⅡ的LPM庫(kù)中乘法運(yùn)算為無(wú)符號(hào)數(shù)的陣列乘法,所以使用時(shí)需要先將兩個(gè)補(bǔ)碼乘數(shù)轉(zhuǎn)換為無(wú)符號(hào)數(shù)相乘后,再將乘積轉(zhuǎn)換為補(bǔ)碼乘積輸出。每個(gè)二階節(jié)完成一次運(yùn)算共需要6個(gè)時(shí)鐘周期,而且需采用各自獨(dú)立的MAC實(shí)現(xiàn)兩級(jí)流水線結(jié)構(gòu),即每個(gè)數(shù)據(jù)經(jīng)過(guò)兩個(gè)二階節(jié)輸出只需要6個(gè)時(shí)鐘周期。
2.1 系統(tǒng)原理框圖
系統(tǒng)原理框圖如圖2所示,模擬信號(hào)經(jīng)過(guò)TLC5510轉(zhuǎn)換為00H~FFH的二進(jìn)制數(shù)后,送入四階IIR低通濾波器,處理后輸出10位二進(jìn)制數(shù)送AD7520得到雙極性的模擬電壓輸出。
圖3 四階濾波器的頂層原理圖
2.2 頂層IIR模塊
頂層IIR模塊如圖3所示。主要由一個(gè)時(shí)序控制模塊IIRC、兩個(gè)IIR二階節(jié)模塊(IIR1和IIR2)構(gòu)成。IIR模塊設(shè)計(jì)為10位二進(jìn)制補(bǔ)碼輸入,最高位ad9為補(bǔ)碼符號(hào)位,次高位ad8用于防止運(yùn)算時(shí)的溢出。可見(jiàn)該IIR模塊實(shí)際可以輸入9位二進(jìn)制補(bǔ)碼數(shù),但TLC5510的輸出數(shù)據(jù)為8位,輸入到IIR模塊時(shí),將ad9和ad8引腳均接地,即輸入為正極性電壓。
clr輸入端為異步清零端,高電平有效。當(dāng)輸入時(shí)鐘clk為12MHz時(shí),IIR模塊產(chǎn)生一個(gè)頻率為2MHz的clk_ad輸出時(shí)鐘提供給TLC5510。輸出數(shù)據(jù)dout為10位二進(jìn)制補(bǔ)碼。IIR1和IIR2模塊構(gòu)成級(jí)聯(lián)結(jié)構(gòu)。
2.3 IIR1和IIR2模塊
IIR1、IIR2模塊主要由兩個(gè)模塊構(gòu)成,一個(gè)是數(shù)據(jù)移位模塊,在CLK_R時(shí)鐘作用下將差分方程的各x、y值延遲一個(gè)時(shí)鐘;另一個(gè)模塊是補(bǔ)碼乘加單元,用VHDL語(yǔ)言編寫(xiě),兩個(gè)乘數(shù)先取補(bǔ)后再進(jìn)行陣列乘法,在CLK_B時(shí)鐘控制下完成一次乘加運(yùn)算,乘積取補(bǔ)后輸出,共需要6個(gè)時(shí)鐘。
差分方程的各系數(shù)如表2所示,采用10位定點(diǎn)純小數(shù)補(bǔ)碼表示。
表2 二階差分方程的系數(shù)
系 數(shù)
a0
a1
a2
b0
b1

1
01CH
3E6H
01CH
194H
35BH

IIR2
03FH
393H
03FH
1C6H
31CH
另外?熏模塊中的五個(gè)系數(shù)定義為常數(shù),以節(jié)省硬件資源,并且采用0舍1入法進(jìn)行數(shù)據(jù)處理,盡量提高數(shù)據(jù)運(yùn)算精度。VHDL程序如下:
entity smultadd1 is
port (clk_regbt,clk_reg: in std_logic:
x0,x1,x2,y0,y1:in std_logic_vector(9 downto 0);
yout: out std_logic_vector(9 downto 0));
end smultadd1;
architecture behav of smultadd1 is
signal tan,tbn,tp2n:std_logic;
signal cnt: std_logic_vector(2 downto 0);
signal ta,tb,taa,tbb:std_logic_vector(8 downto 0);
signal tmpa,tmpb:std_logic_vector(9 downto 0);
signal tp:std_logic_vector(18 downto 0);
signal tpp:std_logic_vector,22 downto 0);
signal ytmp,p:std_logic_vector(23 downto 0);
constant a0:std_logic_vector(9 downto 0:=“0000011100”
(其余常數(shù)說(shuō)明略)
begin
tp2n<=tan xor tbn;--求補(bǔ)后送陣列乘法器
taa<=not ta +‘1’ when (tan=‘1’) else ta;
tbb<=not tb +‘1’ when (tbn=‘1’) else tb;
tpp<=‘1’&‘1’&‘1’&‘1’& not tp +‘1’ when(tp2n=‘1’) else tp;
tmpa<=a0 when cnt=0 else
a1 when cnt=1 else
a2 when cnt=2 else
b0 when cnt=3 else
b1 when cnt=4 else (others=>‘0’);
tmpb<=x0 when cnt=0 else
x1 when cnt=1 else
x2 when cnt=2 else
y0 when cnt=3 else
y1 when cnt=4 else (others=>‘0’);
ta<=tmpa(8 downto 0);tb<=tmpb(8 downto 0);


上一頁(yè) 1 2 下一頁(yè)

評(píng)論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉