新聞中心

EEPW首頁(yè) > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 單片機(jī)多機(jī)冗余設(shè)計(jì)及控制模塊的VHDL語(yǔ)言描述

單片機(jī)多機(jī)冗余設(shè)計(jì)及控制模塊的VHDL語(yǔ)言描述

作者: 時(shí)間:2012-11-26 來(lái)源:網(wǎng)絡(luò) 收藏

1.3.1 電源

三個(gè)的供電電源由。主控遠(yuǎn)件需要保證足夠電流容量,可采用功率三極管或場(chǎng)效應(yīng)管實(shí)現(xiàn)。不能采用繼電器在,以避免觸點(diǎn)電源跳變。

1.3.2 時(shí)鐘產(chǎn)生

晶體振蕩器輸出脈沖作為時(shí)鐘,中間增加可控的緩沖級(jí)。緩沖級(jí)可以增加時(shí)鐘信號(hào)的輸出負(fù)載能力,并可被控制控制。

1.3.3 復(fù)位電路

三個(gè)的復(fù)位端并聯(lián)接至同一個(gè)復(fù)位端。復(fù)位信號(hào)在信號(hào)極性和脈沖寬度上滿足單片機(jī)復(fù)位要求,驅(qū)動(dòng)能力滿足多單片機(jī)需要。復(fù)位電路同樣是受控于控制,用以實(shí)現(xiàn)單片機(jī)同步。

1.4 報(bào)警與控制

不同狀態(tài)下核心控制模塊有不同的信號(hào)輸出,異常狀態(tài)同時(shí)也是報(bào)警信號(hào)。正常狀態(tài)輸出綠燈,出錯(cuò)狀態(tài)輸出黃燈,失敗狀態(tài)輸出紅燈。黃燈輸出時(shí)系統(tǒng)可以暫時(shí)繼續(xù)工作,等到系統(tǒng)空閑或許可時(shí)進(jìn)行糾錯(cuò)。紅燈輸出時(shí)系統(tǒng)立即進(jìn)入保護(hù)狀態(tài),輸出端呈現(xiàn)高阻狀態(tài),需要時(shí)可以馬上糾錯(cuò),恢復(fù)系統(tǒng)。

系統(tǒng)恢復(fù)需要對(duì)控制模塊進(jìn)行復(fù)位,復(fù)位脈沖可以是自身的失敗狀態(tài)輸出,也可以是出錯(cuò)脈沖輸出和其他信號(hào)的組合邏輯??刂颇K的復(fù)位,實(shí)際是對(duì)各單片機(jī)重新進(jìn)行時(shí)序?qū)R和復(fù)位單片機(jī)程序。此處需結(jié)合具體使用場(chǎng)合考慮。

2 控制模塊的

本控制模塊主要采用進(jìn)行

library ieee;

  use ieee.std_1164.all;
  use ieee.std_logic_unsigned.all;
  Entity redu_control is
  Port (a_bus,b_bus,c_bus:in std_logic_vector(7-三輸入總線,--本定為8位)
  o_bus: out std_logic_vector(7 downto 0);--8位輸出總線
  error_out,fail_out:out std_logic;--出錯(cuò)、失敗輸出
  reset_in,clock_in: in std_logic;--復(fù)位、時(shí)鐘輸入
  power,clock,reset:out std_logic;--電源、時(shí)鐘、復(fù)位輸出
 ?。?/div>
  end;
  architecture control_pro of redu_control_is
  signal int: std_logic;
  begin
  bus_pro:process(a_bus,b_bus,c_bus) -總線控制過(guò)程
  begin
  if a_bus=b_bus then
  o+bus=a_bus;
  if a_bus=c_bus then - 正常輸出
  error_out='0';
  fail_out='0';
  else
  error_out='1'; --給出出錯(cuò)信號(hào)
  fail_out='0';
  end if
  elsif a_bus=c_bus then
  o_bus=a_bus;
  error_out='1'; --給出出錯(cuò)信號(hào)
  fail_out='0'; 
  elsif b_bus=c_bus then -不同的出錯(cuò)情況
  o_bus=b_bus;
  error_out='1';
  fail_out='0';
  else --失敗輸出
  o_bus=(others=>'z');
  fail_out='1';
  end if
  end process bus_pro; --總線過(guò)程結(jié)束
  start_pro process -啟動(dòng)過(guò)程
  begin
  wait until reset_in='1'; --等待外部復(fù)位啟動(dòng)
  power='0';
  clock='0';
  reset='0'; --停止電源、時(shí)鐘、復(fù)位輸出
  power='1' after 3 s; --3s后輸出電源信號(hào)
  clock=clock_in after 6 s; --6s后輸出時(shí)鐘信號(hào)
  reset='1' after 9 s;--9s后輸出復(fù)位信號(hào)
  reset='0'after 10 s;--復(fù)位信號(hào)回到高電平
  end process start_pro;--啟動(dòng)過(guò)程結(jié)束
  end;

本文所述的時(shí)鐘對(duì)齊方法實(shí)現(xiàn)比較簡(jiǎn)單但并不唯一。復(fù)雜一點(diǎn)的方法可以采用不同時(shí)鐘輸出到不同單片機(jī),比較反饋后,調(diào)整時(shí)鐘輸出個(gè)數(shù)達(dá)到調(diào)節(jié)目標(biāo)。

單片機(jī)相關(guān)文章:單片機(jī)教程


單片機(jī)相關(guān)文章:單片機(jī)視頻教程


單片機(jī)相關(guān)文章:單片機(jī)工作原理


熱敏打印機(jī)相關(guān)文章:熱敏打印機(jī)原理

上一頁(yè) 1 2 下一頁(yè)

評(píng)論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉