新聞中心

EEPW首頁 > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 基于可編程邏輯器件與單片機(jī)的雙控制器的設(shè)計(jì)

基于可編程邏輯器件與單片機(jī)的雙控制器的設(shè)計(jì)

作者: 時間:2012-08-20 來源:網(wǎng)絡(luò) 收藏

1.3 的串行通信

的串行通信與的串行通信類似,只不過八位寄存器改為并入串出,其端口如圖2所。當(dāng)單片機(jī)的cs=1時,寄存器被選中;當(dāng)load=1時,待發(fā)的數(shù)據(jù)被加載到bxin上;當(dāng)clk上升沿到來時,將數(shù)據(jù)一位一位移出至bxout上,與此同時,單片機(jī)一位一位接收到自bxout上的數(shù)據(jù)。在clk八個上升沿后,加載到bxin的數(shù)據(jù)便被傳送至單片機(jī)的A寄存器中(其VHDL和單片機(jī)源程序由于篇幅所限,不予給出)。

2 雙控制系統(tǒng)的實(shí)現(xiàn)原理

由于單片機(jī)端口有限,所以大部分外圍器件的連線靠CPLD來完成,而對時序控制要求較高的那些外圍器件則靠單片機(jī)來間接控制。所謂間接控制就是指應(yīng)用者可以自定義很多個命令字,每個命令字對應(yīng)著CPLD一項(xiàng)或一系列操作。當(dāng)單片機(jī)想讓某外部器件實(shí)現(xiàn)某種功能時,可以通過串行通信把命令字傳送給可編程邏輯器件CPLD。CPLD收到該命令字關(guān)判斷命令字后,便在EN的上升沿到來后執(zhí)行相應(yīng)的操作。這里值得一提的是:命令字傳送給CPLD后,只有在EN的上升到來后CPLD才能執(zhí)行相應(yīng)操作。這是為了防止命令字在字傳送期間使CPLD誤動作。

當(dāng)外部器件有中斷信號反饋到時,也可將特定的命令字由CPLD傳送給AT89C51,使AT89C51產(chǎn)生中斷進(jìn)行處理。但無論有多少個外圍器件需產(chǎn)生中斷控制。在AT89CF51中斷子程序中,運(yùn)行由CPLD向單片機(jī)的串行通信程序,將特定的命令字讀到A寄存器中,從而可知是如個外圍器件產(chǎn)生的中斷(由應(yīng)用者自行定義),進(jìn)而可知需何種外部操作。

本雙控制系統(tǒng)在項(xiàng)目中應(yīng)用起來非常靈活方便,這里采用的是八位命令字,最多可自定義256個命令字。由于同時采用了單片機(jī)和CPLD,因此編程非常靈活方便,工程人員可根據(jù)自身特點(diǎn)而相應(yīng)選擇。例如,有些人的單片機(jī)編程能力要比CPLD語言編程略好一些,那他可在VHDL語言編程中把命令字對應(yīng)的操作定義得簡單一些(最簡單的莫過于使某個管腳變?yōu)楦唠娖交虻碗娖剑?;若是想簡化單片機(jī)的編程,則可使高電平或低電平);若是想簡化單片機(jī)的編程,則可使VHDL語言編程中特定命令字對應(yīng)的操作復(fù)雜一些。

單片機(jī)的編程過程就是對A寄存器寫不同命令字,然后調(diào)用串行通信子程序的過程。若是VHDL的命令字,然后調(diào)用串行通信子程序的過程。若是VHDL的命令字對應(yīng)程序簡單些,則要完成對某特定外圍器件的操作所需要的命令字調(diào)用就會多一些。反之亦然,僅此而已。

總之,用單片機(jī)與CPLD構(gòu)成的雙,此用它們各自獨(dú)立開發(fā)項(xiàng)目要容易得多。


上一頁 1 2 下一頁

評論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉