新聞中心

EEPW首頁(yè) > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 非同于MCU的獨(dú)立按鍵消抖動(dòng)

非同于MCU的獨(dú)立按鍵消抖動(dòng)

作者: 時(shí)間:2012-03-08 來(lái)源:網(wǎng)絡(luò) 收藏

簡(jiǎn)單的說(shuō),進(jìn)入了電子,不管是學(xué)純模擬,還是學(xué)單片機(jī),DSP、ARM等處理器,或者是我們的FPGA,一般沒(méi)有不用到的地方。:人機(jī)交互控制,主要用于對(duì)系統(tǒng)的控制,信號(hào)的釋放等。因此在這里,F(xiàn)PGA上應(yīng)用的,也不得不講!

本文引用地址:http://butianyuan.cn/article/171926.htm

一、為什么要消

4.jpg

如上圖所示,在按鍵被按下的短暫一瞬間,由于硬件上的,往往會(huì)產(chǎn)生幾毫秒的抖動(dòng),在這時(shí)候若采集信號(hào),勢(shì)必導(dǎo)致誤操作,甚至系統(tǒng)崩潰;同樣,在釋放按鍵的那一刻,硬件上會(huì)相應(yīng)的產(chǎn)生抖動(dòng),會(huì)產(chǎn)生同樣的后果。因此,在模擬或者數(shù)字電路中,我們要避免在最不穩(wěn)定的時(shí)候采集信號(hào),進(jìn)行操作。

對(duì)此一般產(chǎn)用消抖動(dòng)的原理。一般可分為以下幾種:

(1)延時(shí)

(2)N次低電平計(jì)數(shù)

(3)低通濾波

在數(shù)字電路中,一般產(chǎn)用(1)(2)種方法。后文中將詳細(xì)介紹。

二、各種消抖動(dòng)

1. 模擬電路按鍵消抖動(dòng)

對(duì)于模擬電路中,一般消抖動(dòng)用的是電容消抖動(dòng)或者施密特觸發(fā)等電路,再次不做具體介紹。

5.jpg

2. 單片機(jī)中按鍵消抖動(dòng)

對(duì)于單片機(jī)中的按鍵消抖動(dòng),本節(jié)Bingo根據(jù)自己當(dāng)年寫過(guò)的單片機(jī)其中的一個(gè)代碼來(lái)講解,代碼如下所示:

unsigned char key_scan(void)

{

if(key == 0) //檢測(cè)到被按下

{

delay(5); //延時(shí)5ms,消抖

if(key != 0)

retrurn 0; //是抖動(dòng),返回退出

while(!key1); // 確認(rèn)被按下,等下釋放

delay(5); //延時(shí)5ms,消抖

while(!key1); //確認(rèn)被釋放

return 1; //返回按下信號(hào)

}

return 0; //沒(méi)信號(hào)

}

針對(duì)以上代碼,消抖動(dòng)的順序如下所示:

(1)檢測(cè)到信號(hào)

(2)延時(shí)5ms,消抖動(dòng)

(3)繼續(xù)檢測(cè)信號(hào),確認(rèn)是否被按下

a) 是,則開始等待釋放

b) 否,則返回0,退出

(4)延時(shí)5ms,消抖動(dòng)

(5)確認(rèn),返回按下信號(hào),退出

當(dāng)然在單片機(jī)中也可以循環(huán)計(jì)數(shù)來(lái)確認(rèn)是否被按下。Bingo認(rèn)為如此,太耗資源,因此再次不做講述。

3. FPGA中的按鍵消抖動(dòng)

對(duì)于FPGA中的消抖動(dòng),很多教科書上都沒(méi)有講述。但Bingo覺(jué)得這個(gè)很有必要。對(duì)于信號(hào)穩(wěn)定性以及準(zhǔn)確性分析,按鍵信號(hào)必須有一個(gè)穩(wěn)定的脈沖,不然對(duì)系統(tǒng)穩(wěn)定性有很大的干擾。

此處Bingo用兩種方法對(duì)FPGA中按鍵消抖動(dòng)分析。其中第一種是通過(guò)狀態(tài)機(jī)的使用直接移植以上的代碼,這個(gè)思想在FPGA狀態(tài)機(jī)中很重要。第二種,通過(guò)循環(huán)n次計(jì)數(shù)的方法來(lái)確認(rèn)是否真的被按下,這種方法很實(shí)用在FPGA這種高速并行器件中。

(1)利用狀態(tài)機(jī)移植按鍵消抖動(dòng)

此模塊由Bingo無(wú)數(shù)次修改測(cè)試最后成型的代碼,在功能上可適配n個(gè)按鍵,在思想上利用單片機(jī)采用了單片機(jī)消抖動(dòng)的思想。具體代碼實(shí)現(xiàn)過(guò)程請(qǐng)有需要的自行分析,本模塊移植方便,Verilog代碼如下所示:

/*************************************************

* Module Name : key_scan_jitter.v

* Engineer : Crazy Bingo

* Target Device : EP2C8Q208C8

* Tool versions : Quartus II 11.0

* Create Date : 2011-6-26

* Revision : v1.0

* Description :

**************************************************/

module key_scan_jitter

#(

parameter KEY_WIDTH = 2

)

(

input clk,

input rst_n,

input [KEY_WIDTH-1:0] key_data,

output key_flag,

output reg [KEY_WIDTH-1:0] key_value

);

reg [19:0] cnt; //delay_5ms(249999)

reg [2:0] state;

//-----------------------------------

always @(posedge clk or negedge rst_n)

begin

if(!rst_n)

cnt = 20'd0;

else

begin

cnt = cnt + 1'b1;

if(cnt == 20'd249999)

cnt = 20'd0;

end

end

//-----------------------------------


上一頁(yè) 1 2 3 下一頁(yè)

評(píng)論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉