新聞中心

EEPW首頁 > 模擬技術(shù) > 設(shè)計(jì)應(yīng)用 > IIR數(shù)字濾波器的Matlab和FPGA實(shí)現(xiàn)

IIR數(shù)字濾波器的Matlab和FPGA實(shí)現(xiàn)

作者: 時(shí)間:2011-07-11 來源:網(wǎng)絡(luò) 收藏



2 實(shí)現(xiàn)
2.1 總體設(shè)計(jì)方案
根據(jù)上述參數(shù)計(jì)算可知,需要設(shè)計(jì)的濾波器為2個(gè)二階節(jié)的系統(tǒng)級聯(lián)形式,其二階節(jié)系統(tǒng)函數(shù)的差分方程均為:
g.JPG
可以看出,一個(gè)二階節(jié)的實(shí)現(xiàn),需要五次乘法運(yùn)算、四次加法運(yùn)算(采用二進(jìn)制補(bǔ)碼將減法運(yùn)算變?yōu)榧臃ㄟ\(yùn)算),兩個(gè)二階節(jié)共需要10次乘法運(yùn)算,所有乘法運(yùn)算均為無符號數(shù)的乘法運(yùn)算,所以使用時(shí)需要先將兩個(gè)補(bǔ)碼乘數(shù)轉(zhuǎn)換為無符號數(shù)相乘后,再將乘積轉(zhuǎn)換為補(bǔ)碼乘積輸出,送入累加器求和。根據(jù)以上設(shè)計(jì)思想可知,一個(gè)二階節(jié)系統(tǒng)均由控制模塊、移位模塊、求補(bǔ)模塊、乘法模塊和累加器模塊等模塊組成,其系統(tǒng)電路框圖如圖2所示。

h.JPG


由于濾波器的兩個(gè)節(jié)系統(tǒng)電路結(jié)構(gòu)完全相同,只是系數(shù)不同,故另一個(gè)二階節(jié)的設(shè)計(jì)與此類似。
2.2 主要功能模塊的設(shè)計(jì)
控制模塊主要用來產(chǎn)生對其他模塊的時(shí)序控制。
累加模塊的功能是將10位×1位乘法器的5個(gè)輸出數(shù)據(jù)在10個(gè)時(shí)鐘周期內(nèi)累加后,并將結(jié)果輸出。
移位模塊主要完成數(shù)據(jù)交換功能,將輸入數(shù)據(jù)送入輸入寄存器x(n)中,同時(shí)將x(n)寄存器上一時(shí)刻的數(shù)據(jù)送入x(n-1)寄存器,同時(shí)又將x(n-1)寄存器上一時(shí)刻的數(shù)據(jù)送入x(n-2)寄存器。同理有y(n)→y(n-1)→y(n-2)。
求補(bǔ)模塊主要根據(jù)每路乘法器2個(gè)輸入數(shù)據(jù)的補(bǔ)碼,判斷輸入數(shù)據(jù)的正負(fù),設(shè)置該路乘積結(jié)果正負(fù)標(biāo)志位,并對輸入的負(fù)數(shù)進(jìn)行求補(bǔ)運(yùn)算,保證乘積是在2個(gè)無符號數(shù)之間進(jìn)行,再根據(jù)該路乘積結(jié)果正負(fù)標(biāo)志位,對乘積結(jié)果進(jìn)行求補(bǔ)運(yùn)算,保證送入累加器的數(shù)據(jù)為補(bǔ)碼。
將設(shè)計(jì)好的各模塊按照二階節(jié)系統(tǒng)電路框圖銜接,即可完成IIR濾波器其中一個(gè)二階節(jié)系統(tǒng)的設(shè)計(jì)。只要修改差分方程的系數(shù)就可完成另一個(gè)二階節(jié)系統(tǒng)的設(shè)計(jì)。
2.3 仿真結(jié)果
各功能模塊設(shè)計(jì)、調(diào)測完成之后,按照總體設(shè)計(jì)方案完成IIR濾波器的實(shí)現(xiàn),并下載到Altera公司的EP1C6Q240C8器件上驗(yàn)證,QuartusⅡ中的仿真結(jié)果如圖3所示。

a.JPG


圖3中:xn為輸入信號,采用單極性方波周期信號;頻率為100 kHz,在采樣頻率為2 MHz時(shí),每個(gè)周期采樣20個(gè)點(diǎn),換算成數(shù)字域頻率為0.1π;二次諧波的數(shù)字頻率為0.2π;yn為濾波輸出。觀察仿真波形可知,所設(shè)計(jì)的IIR濾波器符合設(shè)計(jì)要求。為了更直觀地觀察IIR濾波器濾波后的輸出結(jié)果,可將仿真波形文件轉(zhuǎn)換為*.tbl文件,在中描繪波形。

3 結(jié)語
的應(yīng)用十分廣泛,實(shí)現(xiàn)方法很多,運(yùn)用語言,能很容易地設(shè)計(jì)出具有嚴(yán)格指標(biāo)要求的。采用器件實(shí)現(xiàn)數(shù)字濾波器的方法,大大縮短了設(shè)計(jì)周期,降低了成本,提高了設(shè)計(jì)的可靠性、靈活性,為數(shù)字濾波器的設(shè)計(jì)與實(shí)現(xiàn)提供了一種有效的方法。

電源濾波器相關(guān)文章:電源濾波器原理


數(shù)字濾波器相關(guān)文章:數(shù)字濾波器原理

上一頁 1 2 3 下一頁

關(guān)鍵詞: Matlab FPGA IIR 數(shù)字濾波器

評論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉