新聞中心

EEPW首頁 > EDA/PCB > 設(shè)計(jì)應(yīng)用 > 電子工程師經(jīng)驗(yàn):FPGA設(shè)計(jì)風(fēng)格須知

電子工程師經(jīng)驗(yàn):FPGA設(shè)計(jì)風(fēng)格須知

作者: 時(shí)間:2012-09-04 來源:網(wǎng)絡(luò) 收藏

在進(jìn)行設(shè)計(jì)時(shí),有很多需要我們注意的地方。具有好的設(shè)計(jì)風(fēng)格才能做出好的設(shè)計(jì)產(chǎn)品,這一點(diǎn)是毋庸置疑的。那么,接下來,小編就帶大家一起來看看,再進(jìn)行設(shè)計(jì)時(shí),我們都要注意哪些呢?

本文引用地址:http://www.butianyuan.cn/article/189960.htm

  一.命名風(fēng)格:

  1不要用關(guān)鍵字做信號(hào)名;

  2不要在中用VERILOG關(guān)鍵字做信號(hào)名;

  3命名信號(hào)用含義;

  4命名I/O口用盡量短的名字;

  5不要把信號(hào)用高和低的情況混合命名;

  6信號(hào)的第一個(gè)字母必須是A-Z是一個(gè)規(guī)則;

  7使模塊名、實(shí)例名和文件名相同;

  二.編碼風(fēng)格

  記住,一個(gè)好的代碼是其他人可以很容易閱讀和理解的。

  1盡可能多的增加說明語句;

  2在一個(gè)設(shè)計(jì)中固定編碼格式和統(tǒng)一所有的模塊,根從項(xiàng)目領(lǐng)導(dǎo)者定義的格式;

  3把全部設(shè)計(jì)分成適合數(shù)量的不同的模塊或?qū)嶓w;

  4在一個(gè)always/process中的所有信號(hào)必須相關(guān);

  5不要用關(guān)鍵字或一些經(jīng)常被用來安全綜合的語法;

  6不要用復(fù)雜邏輯;

  7在一個(gè)if語句中的所有條件必須相關(guān);

  三.設(shè)計(jì)風(fēng)格

  1強(qiáng)烈建議用同步設(shè)計(jì);

  2在設(shè)計(jì)時(shí)總是記住時(shí)序問題;

  3在一個(gè)設(shè)計(jì)開始就要考慮到地電平或高電平復(fù)位、同步或異步復(fù)位、上升沿或下降沿觸發(fā)等問題,在所有模塊中都要遵守它;

  4在不同的情況下用if和case;

  5在鎖存一個(gè)信號(hào)或總線時(shí)要小心;

  6確信所有寄存器的輸出信號(hào)能夠被復(fù)位/置位;

  7永遠(yuǎn)不要再寫入之前讀取任何內(nèi)部存儲(chǔ)器(如SRAM)

  8從一個(gè)時(shí)鐘到另一個(gè)不同的時(shí)鐘傳輸數(shù)據(jù)時(shí)用數(shù)據(jù)緩沖,他工作像一個(gè)雙時(shí)鐘FIFO;

  9在VHDL中二維數(shù)組可以使用,它是非常有用的。在VERILOG中他僅僅可以使用在測(cè)試模塊中,不能被綜合;

  10遵守register-in register-out規(guī)則;

  11像synopsys的DC的綜合工具是非常穩(wěn)定的,任何bugs都不會(huì)從綜合工具中產(chǎn)生;

  12確保版本與ASIC的版本盡可能的相似,特別是SRAM類型,若版本一致是最理想的;

  13在嵌入式存儲(chǔ)器中使用BIST;

  14虛單元和一些修正電路是必需的;

  15一些簡單的測(cè)試電路也是需要的,經(jīng)常在一個(gè)芯片中有許多測(cè)試模塊;

 16除非低功耗不要用門控時(shí)鐘;

  17不要依靠腳本來保證設(shè)計(jì)。但是在腳本中的一些好的約束能夠起到更好的性能(例如前向加法器);

  18如果時(shí)間充裕,通過時(shí)鐘做一個(gè)多鎖存器來取代用MUX;

  19不要用內(nèi)部tri-state, ASIC需要總線保持器來處理內(nèi)部tri-state;

  20在top level中作pad insertion;

  21選擇pad時(shí)要小心(如上拉能力,施密特觸發(fā)器,5伏耐壓等);

  22小心由時(shí)鐘偏差引起的問題;

  23不要試著產(chǎn)生半周期信號(hào);

  24如果有很多函數(shù)要修正,請(qǐng)一個(gè)一個(gè)地作,修正一個(gè)函數(shù)檢查一個(gè)函數(shù);

  25在一個(gè)計(jì)算等式中排列每個(gè)信號(hào)的位數(shù)是一個(gè)好習(xí)慣,即使綜合工具能做;

  26不要使用HDL提供的除法器;

  27削減不必要的時(shí)鐘。它會(huì)在設(shè)計(jì)和布局中引起很多麻煩,大多數(shù)FPGA有1-4個(gè)專門的時(shí)鐘通道;

  四.嚴(yán)格遵守

  1、 禁止使用時(shí)鐘或復(fù)位信號(hào)作數(shù)據(jù)或使能信號(hào),也不能用數(shù)據(jù)信號(hào)作為時(shí)鐘或復(fù)位信號(hào),否則HDL 綜合時(shí)會(huì)出現(xiàn)時(shí)序驗(yàn)證問題。

  2、 同一個(gè)模塊中不建議同時(shí)使用上升沿和下降沿兩種邊沿觸發(fā)方式

  3、 復(fù)位后,確保所有的寄存器必須被初始化,防止出現(xiàn)不可預(yù)測(cè)的狀態(tài)

  4、 嚴(yán)禁模塊內(nèi)部使用三態(tài)、雙向信號(hào)

  在內(nèi)部由于需要,要使用雙向信號(hào)時(shí),如某sdram接口模塊有:inout sdram_bus,可以在頂層模塊中對(duì)此總線做拆分處理,分別為:sdram_in, sdram_out, sdram_en三個(gè)信號(hào)控制, 并在頂層進(jìn)行雙向總線建模,如下示例代碼(13):

  assign sdram_in = sdram_bus;

  assign sdram_bus = (sdram_en == 1’b1) ? sdram_out : ‘bz;

  示例代碼13 雙向總線建模

  5、 可綜合版本嚴(yán)禁使用延時(shí)單元(如: test_r = #5 test),清楚其他不可綜合的系統(tǒng)任務(wù),如:讀寫文件

  6、 建議時(shí)序邏輯中建議一致使用非阻塞賦值,組合邏輯中一致使用阻塞賦值

  7、 在組合邏輯進(jìn)程中,其敏感向量表中要包含所有要讀取的信號(hào),防止仿真與綜合結(jié)果不一致,如示例代碼(14)


上一頁 1 2 下一頁

評(píng)論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉