新聞中心

EEPW首頁(yè) > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > FPGA設(shè)計(jì)開(kāi)發(fā)軟件Quartus II的使用技巧之:Quartus II軟件基礎(chǔ)介紹

FPGA設(shè)計(jì)開(kāi)發(fā)軟件Quartus II的使用技巧之:Quartus II軟件基礎(chǔ)介紹

作者: 時(shí)間:2017-06-05 來(lái)源:網(wǎng)絡(luò) 收藏

5.1軟件簡(jiǎn)介

設(shè)計(jì)軟件是Altera提供的完整的多平臺(tái)設(shè)計(jì)環(huán)境,能夠直接滿(mǎn)足特定設(shè)計(jì)需要,為可編程芯片系統(tǒng)(SOPC)提供全面的設(shè)計(jì)環(huán)境。軟件含有和CPLD設(shè)計(jì)所有階段的解決方案。

本文引用地址:http://www.butianyuan.cn/article/201706/348826.htm

QuartusII是Altera公司新一代的EDA設(shè)計(jì)工具,由該公司早先的MAX+PLUSII演變而來(lái)。不僅繼承了MAX+PLUSII工具的優(yōu)點(diǎn),更提供了對(duì)新器件和新技術(shù)的支持,使設(shè)計(jì)者能夠能輕松和全面地介入設(shè)計(jì)的每一個(gè)環(huán)節(jié)。本章將對(duì)這個(gè)軟件進(jìn)行全面的介紹。

5.2QuartusII軟件新特性

可以按照個(gè)人偏好,自定義QuartusII軟件的布局、菜單、命令和圖標(biāo)。當(dāng)?shù)谝淮未蜷_(kāi)QuartusII軟件時(shí),可以在標(biāo)準(zhǔn)QuartusII用戶(hù)界面和MAX+PLUSII界面之間選擇外觀和操作習(xí)慣,或者稍候使用“Customize”對(duì)話(huà)框(Tools菜單)選擇外觀和操作習(xí)慣。

“Customize”對(duì)話(huà)框也允許設(shè)置是否顯示QuartusII或者M(jìn)AX+PLUSII快捷菜單,是設(shè)置在菜單欄的左邊還是右邊。QuartusII快捷菜單包含的菜單命令用于每個(gè)QuartusII應(yīng)用程序,是常用處理命令。如圖5.1所示為“Customize”對(duì)話(huà)框。

MAX+PLUSII快捷菜單與MAX+PLUSII軟件的MAX+PLUSII菜單類(lèi)似,提供用于應(yīng)用程序的命令,也是常用的MAX+PLUSII菜單命令。MAX+PLUSII菜單上的命令與相應(yīng)的QuartusII命令功能相同。如圖5.2所示為QuartusII和MAX+PLUSII快捷菜單。

圖5.1Customize對(duì)話(huà)框

圖5.2QuartusII和MAX+PLUSII快捷菜單

以下步驟描述了使用QuartusII基本設(shè)計(jì)流程。

(1)使用NewProjectWizard(“File”菜單)建立新工程并指定目標(biāo)器件或器件系列。

(2)使用TextEditor建立VerilogHDL、VHDL或Altera硬件描述語(yǔ)言(AHDL)設(shè)計(jì)。根據(jù)需要,使用BlockEditor建立表示其他設(shè)計(jì)文件的符號(hào)框圖,也可以建立原理圖。還可以使用MegaWizard®Plug-InManager(“Tools”菜單)生成宏功能模塊和IP功能的自定義變量,在設(shè)計(jì)中將它們例化。

(3)使用AssignmentEditor、PinPlanner、Settings對(duì)話(huà)框(“Assignments”菜單)、FloorplanEditor、“DesignPartitions”窗口、“LogicLock”功能指定初始設(shè)計(jì)約束。

(4)進(jìn)行EarlyTimingEstimate,在完成Fitter之前生成時(shí)序結(jié)果的早期估算。

(5)使用SOPCBuilder或DSPBuilder建立系統(tǒng)級(jí)設(shè)計(jì)。

(6)使用SoftwareBuilder為Excalibur™器件處理器或Nios®嵌入式處理器建立軟件和編程文件。

(7)使用AnalysisSynthesis對(duì)設(shè)計(jì)進(jìn)行綜合。

(8)如果設(shè)計(jì)含有分區(qū),而沒(méi)有進(jìn)行完整編譯,則需要采用PartitionMerge合并分區(qū)。

(9)通過(guò)使用Simulator和GenerateFunctionalSimulationNetlist命令在設(shè)計(jì)中執(zhí)行功能仿真。

(10)使用Fitter對(duì)設(shè)計(jì)進(jìn)行布局布線(xiàn)。

(11)使用PowerPlayPowerAnalyzer進(jìn)行功耗估算和分析。

(12)使用TimingAnalyzer對(duì)設(shè)計(jì)進(jìn)行時(shí)序分析。

(13)使用Simulator對(duì)設(shè)計(jì)進(jìn)行時(shí)序仿真。

(14)使用物理綜合、TimingClosure平面布局圖、LogicLock功能、“Settings”對(duì)話(huà)框和AssignmentEditor改進(jìn)時(shí)序,達(dá)到時(shí)序逼近。

(15)使用Assembler為設(shè)計(jì)建立編程文件。

(16)使用編程文件、Programmer和Altera硬件對(duì)器件進(jìn)行編程,或?qū)⒕幊涛募D(zhuǎn)換為其他文件格式以供嵌入式處理器等其他系統(tǒng)使用。

(17)使用SignalTap®IILogicAnalyzer、SignalProbe功能或ChipEditor對(duì)設(shè)計(jì)進(jìn)行調(diào)試。

(18)使用ChipEditor、ResourcePropertyEditor和ChangeManager管理工程更改。

5.3QuartusII軟件的安裝與啟動(dòng)

使用PC機(jī)安裝QuartusII的系統(tǒng)配置要求如下。

·CPU:奔騰II400MHz以上。

·內(nèi)存:512MB以上。

·大于800MB的安裝空間。

·Windows2000或WindowsXP操作系統(tǒng)。

·MicrosoftWindows兼容的SVGA顯卡。

·具有并行通信口或USB通信口,以便用下載電纜。

QuartusII軟件安裝步驟如下。

(1)運(yùn)行安裝向?qū)В篒nstall.exe。

(2)單擊“InstallQuartusIIandRelatedSoftware”按鈕,進(jìn)入歡迎界面,單擊“Next”按鈕進(jìn)入安裝QuartusII軟件的安裝向?qū)Ы缑?。在這個(gè)安裝向?qū)Ы缑嬷校x中QuartusII,其他項(xiàng)目不選,單擊“Next”按鈕,進(jìn)入下一步。

(3)在“LicenseAgreement”對(duì)話(huà)框上選中“Iacceptthetermsofthelicenseagreement”選項(xiàng),單擊“Next”按鈕,進(jìn)入下一步。

(4)在“Custominformation”對(duì)話(huà)框上,輸入用戶(hù)信息“UserName:xxx,CompanyName:xxx”,單擊“Next”按鈕,進(jìn)入下一步。

(5)在“ChooseDestinationLocation”對(duì)話(huà)框上選擇安裝路徑,也可使用默認(rèn)路徑。確保硬盤(pán)上有足夠的空間,單擊“Next”按鈕進(jìn)入下一步。

(6)在SetupType對(duì)話(huà)框上選中“Complete”選項(xiàng),單擊“Next”按鈕,進(jìn)入下一步。

(7)確認(rèn)安裝設(shè)置,單擊“Next”按鈕,安裝向?qū)ч_(kāi)始復(fù)相關(guān)文件。

(8)在“QuartusIITalkback”對(duì)話(huà)框上,單擊“確定”按鈕繼續(xù)安裝。

(9)在“InstallshieldWizardComplete”對(duì)話(huà)框上,去掉“LaunchQuartusII6.0”選項(xiàng),單擊“Finish”按鈕,完成安裝。

(10)回到安裝向?qū)е鹘缑?,單?ldquo;InstallFLElmServer”按鈕,啟動(dòng)FLElmServer的安裝向?qū)?。?ldquo;ChooseDestinationLocation”對(duì)話(huà)框上,單擊“Next”按鈕,安裝到默認(rèn)路徑。

(11)文檔復(fù)制完畢,單擊“Finish”按鈕,完成安裝。

(12)回到安裝向?qū)е鹘缑?,單?ldquo;Exit”按鈕,退出安裝向?qū)А?/p>

(13)根據(jù)license的安裝說(shuō)明安裝license。

(14)至此,QuartusII6.0已經(jīng)可以使用了。

5.4QuartusII軟件設(shè)計(jì)流程

利用QuartusII進(jìn)行設(shè)計(jì)的流程如圖5.3所示。

這是一個(gè)完整的設(shè)計(jì)流程,在實(shí)際的設(shè)計(jì)過(guò)程中,其中的一些步驟可以進(jìn)行簡(jiǎn)化。簡(jiǎn)化的QuartusII設(shè)計(jì)過(guò)程如圖5.4所示。

圖5.3QuartusII設(shè)計(jì)流程 圖5.4簡(jiǎn)化的QuartusII設(shè)計(jì)過(guò)程



關(guān)鍵詞: QuartusII Max+PlusII FPGA

評(píng)論


相關(guān)推薦

技術(shù)專(zhuān)區(qū)

關(guān)閉