新聞中心

EEPW首頁(yè) > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 基于EDA技術(shù)的FPGA設(shè)計(jì)探究

基于EDA技術(shù)的FPGA設(shè)計(jì)探究

作者: 時(shí)間:2017-08-01 來(lái)源:網(wǎng)絡(luò) 收藏

  集成電路技術(shù)和計(jì)算機(jī)技術(shù)的蓬勃發(fā)展。讓電子產(chǎn)品設(shè)計(jì)有了更好的應(yīng)用市場(chǎng)。實(shí)現(xiàn)方法也有了更多的選擇。傳統(tǒng)電子產(chǎn)品設(shè)計(jì)方案是一種基于電路板的設(shè)計(jì)方法。該方法需要選用大量的固定功能器件.然后通過這些器件的配合設(shè)計(jì)從而模擬電子產(chǎn)品的功能,其工作集中在器件的選用及電路板的設(shè)計(jì)上。

本文引用地址:http://butianyuan.cn/article/201708/362463.htm

  隨著計(jì)算機(jī)性價(jià)比的提高及可編程邏輯器件的出現(xiàn)。對(duì)傳統(tǒng)的數(shù)字電子系統(tǒng)設(shè)計(jì)方法進(jìn)行了解放性的革命。現(xiàn)代電子系統(tǒng)設(shè)計(jì)方法是設(shè)計(jì)師自己設(shè)計(jì)芯片來(lái)實(shí)現(xiàn)電子系統(tǒng)的功能.將傳統(tǒng)的固件選用及電路板設(shè)計(jì)工作放在芯片設(shè)計(jì)中進(jìn)行。進(jìn)人新世紀(jì)電子產(chǎn)品設(shè)計(jì)系統(tǒng)日趨數(shù)字化、復(fù)雜化和大規(guī)模集成化,各種電子系統(tǒng)的設(shè)計(jì)軟件應(yīng)運(yùn)而生。在這些專業(yè)化軟件中,(Electronic Design Automation)具有一定的代表性。技術(shù)是一種基于芯片的現(xiàn)代電子系統(tǒng)設(shè)計(jì)方法。它的優(yōu)勢(shì)主要集中在能用HDL語(yǔ)言進(jìn)行輸入、進(jìn)行PEn(可編程器件)的設(shè)計(jì)與仿真等系統(tǒng)設(shè)計(jì)?,F(xiàn)在技術(shù)已向模擬可編程器件的設(shè)計(jì)與仿真方向發(fā)展.并占據(jù)市場(chǎng)的一定份額。

  EDA技術(shù)主要包括大規(guī)模可編程邏輯器件、硬件描述語(yǔ)言、開發(fā)軟件工具及實(shí)驗(yàn)開發(fā)系統(tǒng)4個(gè)方面。其中,大規(guī)??删幊踢壿嬈骷抢肊DA技術(shù)進(jìn)行電子系統(tǒng)設(shè)計(jì)的載體硬件.描述語(yǔ)言是利用EDA技術(shù)進(jìn)行電子系統(tǒng)設(shè)計(jì)的主要表達(dá)手段。開發(fā)軟件工具是利用EDA技術(shù)進(jìn)行電子系統(tǒng)設(shè)計(jì)的智能化與自動(dòng)化設(shè)計(jì)工具。實(shí)驗(yàn)開發(fā)系統(tǒng)則是提供芯片下載電路及EDA實(shí)驗(yàn)、開發(fā)的外圍資源。

  一、結(jié)構(gòu)概述

  現(xiàn)場(chǎng)可編程門陣列作為集成度和復(fù)雜程度最高的可編程ASIC。是ASIC的一種新型門類,它建立在創(chuàng)新的發(fā)明構(gòu)思和先進(jìn)的EDA技術(shù)之上。運(yùn)算器、乘法器、數(shù)字濾波器、二維卷積器等具有復(fù)雜算法的邏輯單元和信號(hào)處理單元的邏輯設(shè)計(jì)都町選用實(shí)現(xiàn)。以Xilinx的FPGA器件為例,它的結(jié)構(gòu)可以分為3個(gè)部分:可編程邏輯塊CLB(Configurable Losic Blocks)、可編程I/O模塊IOB(Input/OutputBlock)和可編程內(nèi)部連接PI(Programmable Interconnect)。CLB在器件中排列為陣列,周圍環(huán)形內(nèi)部連線,10B分布在四局的管腳上。Xilinx的CLB功能很強(qiáng)。不僅能夠?qū)崿F(xiàn)邏輯函數(shù)。還可以配置成R^M等復(fù)雜的形式。

  現(xiàn)場(chǎng)可編程門陣列FPGA是含有大規(guī)模數(shù)字電路的通用性器件。這些數(shù)字電路之間的互聯(lián)網(wǎng)絡(luò)是由用戶使用更高級(jí)的軟件來(lái)定義的。FFCA可以進(jìn)行無(wú)限次的重復(fù)編程,從一個(gè)電路到另一個(gè)電路的變化是通過簡(jiǎn)單的卸載互聯(lián)文件來(lái)實(shí)現(xiàn)的,極大地推動(dòng)了復(fù)雜數(shù)字電路的設(shè)計(jì),縮短了故障檢查的時(shí)間。

  傳統(tǒng)的數(shù)字邏輯設(shè)計(jì)使用TTL電平和小規(guī)模的數(shù)字集成電路來(lái)完成邏輯電路圖。使用這些標(biāo)準(zhǔn)的邏輯器件已經(jīng)被證實(shí)是最便宜的手段。但是要求做一些布線和復(fù)雜的電路集成板(焊接調(diào)試)等工作,如果出現(xiàn)錯(cuò)誤。改動(dòng)起來(lái)特別麻煩。因此,采用傳統(tǒng)電子設(shè)計(jì)方案人員的很大一部分工作主要集中在設(shè)備器件之間物理連接、調(diào)試以及故障解決方面。正是因?yàn)镕PGA的EDA技術(shù)使用r更高級(jí)的計(jì)算機(jī)語(yǔ)言。電路的生成基本上是由計(jì)算機(jī)來(lái)完成,將使用戶能較快地完成更復(fù)雜的數(shù)字電路設(shè)計(jì),由于沒有器件之間的物理連接。因此調(diào)試及故障排除更迅速、有效。

  二、FPGA對(duì)復(fù)雜電路設(shè)計(jì)

  FPGA能進(jìn)行無(wú)限次的重復(fù)編程。因此能夠在相同的器件上進(jìn)行修改和卸載已經(jīng)完成好的設(shè)計(jì)。在一個(gè)FPGA芯片上的基本部件數(shù)量增加了很多,這使得在FPGA上實(shí)現(xiàn)非常復(fù)雜的電子電路設(shè)計(jì)變成比較現(xiàn)實(shí)。由于采用FPGA的EDA技術(shù)所產(chǎn)生的性價(jià)比更高一些,從而使得非常多的單位越來(lái)越多的采用這項(xiàng)技術(shù).并且這種增長(zhǎng)趨勢(shì)仍舊在繼續(xù)。

  FPGA中的邏輯塊是CLB.邏輯塊是指PLD(Programmable bgicDevice)芯片中按結(jié)構(gòu)劃分的功能模塊,它有相對(duì)獨(dú)立的組合邏輯單元。塊問靠互連系統(tǒng)聯(lián)系。FPGA的邏輯塊粒度小,輸入變量為4-8,輸出變量為1-2,每塊芯片中有幾十到上千個(gè)這樣的單元.使用時(shí)非常靈活。FPGA內(nèi)部互連結(jié)構(gòu)是靠可編程互聯(lián)PI實(shí)現(xiàn)邏輯塊之間的聯(lián)接。它的互聯(lián)是分布式的,它的延時(shí)與系統(tǒng)布局有關(guān),不同的布局.互聯(lián)延時(shí)不同。根據(jù)FPGA的不同類型,可采用開關(guān)矩陣或反熔線絲技術(shù)將金屬線斷的端點(diǎn)連接起來(lái),從而使信號(hào)可以交換于任意兩邏輯單元之間。

  采用FPGA技術(shù)集成設(shè)計(jì)數(shù)字電路產(chǎn)品最大的特點(diǎn)就是可以使設(shè)計(jì)和實(shí)現(xiàn)相統(tǒng)一。無(wú)須前期風(fēng)險(xiǎn)投資,而且設(shè)計(jì)實(shí)現(xiàn)均在實(shí)驗(yàn)室的EDA開發(fā)系統(tǒng)上進(jìn)行,周期很短,大大有利于產(chǎn)品的市場(chǎng)競(jìng)爭(zhēng)需求,所以FPGA的應(yīng)用設(shè)計(jì)。特別適應(yīng)于電子新產(chǎn)品的小批量開發(fā)??蒲许?xiàng)目的樣機(jī)試制以及ASIC產(chǎn)品設(shè)計(jì)的驗(yàn)證,能夠進(jìn)行現(xiàn)場(chǎng)設(shè)計(jì)實(shí)現(xiàn)、現(xiàn)場(chǎng)仿真及現(xiàn)場(chǎng)修改。

  三、FPGA的應(yīng)用領(lǐng)域

  FPGA所具有的無(wú)限次可重復(fù)編程能力,靈活的體系結(jié)構(gòu),豐富的觸發(fā)器及布線資源等一系列的特點(diǎn)使得它可以滿足電子產(chǎn)品設(shè)計(jì)的多種需求。FPGA的應(yīng)用領(lǐng)域主要集中在替換通用邏輯和復(fù)雜邏輯、重復(fù)編程使用、板極設(shè)計(jì)集成、高速計(jì)數(shù)器、加減法器、累加器和比較器的實(shí)現(xiàn)、總線接口邏輯等方面。

  應(yīng)用和開發(fā)FPGA必須對(duì)器件的性能有一個(gè)全面了解.例如對(duì)器件的容量、速度、功耗、接El要求和引腳數(shù)目等進(jìn)行綜合考慮,同時(shí)還要注意以下幾個(gè)細(xì)節(jié)問題:

  ●時(shí)序電路應(yīng)用“上電”復(fù)位電路.保證開機(jī)加電后,置時(shí)序電路于初始狀態(tài):

  ●器件的電源與地引腳必須并接一只0.1μF的無(wú)感電容,起濾波和去耦作用:

  ●不能采用熬目是偶數(shù)的反向器串聯(lián)的方法構(gòu)成“延時(shí)電路”,一則延時(shí)的時(shí)間不準(zhǔn)確.二則自動(dòng)編譯時(shí)會(huì)作為冗余電路被簡(jiǎn)化掉;

  ●主要的全局緩沖器必須由半專用的焊盤驅(qū)動(dòng),次要的全局緩沖器可以來(lái)源于半專用的焊盤或內(nèi)部網(wǎng)線;

  ●引腳之間嚴(yán)禁短路,忌用萬(wàn)用表直接測(cè)量器件引腳;

  ●器件的I/O口如被定義為輸出端,忌對(duì)該端加信號(hào),否則將損壞芯片:

  ●低功耗的器件如揍負(fù)載過大時(shí),不僅會(huì)使所用器件的工作效率顯著降低,甚至?xí)p傷芯片。

  四、結(jié)束語(yǔ)

  基于EDA技術(shù)的現(xiàn)場(chǎng)可編程門陣列FPGA集成度高.結(jié)構(gòu)靈活。設(shè)計(jì)方法多樣,開發(fā)周期短,調(diào)試方便,修改容易,應(yīng)用領(lǐng)域廣泛。面對(duì)科學(xué)技術(shù)高速發(fā)展,熟練的掌握EDA設(shè)計(jì)技術(shù),靈活巧妙的使用FPGA至關(guān)重要。



關(guān)鍵詞: EDA FPGA

評(píng)論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉