新聞中心

EEPW首頁 > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > Verilog的語言要素有哪些?

Verilog的語言要素有哪些?

作者: 時(shí)間:2018-08-03 來源:網(wǎng)絡(luò) 收藏

本文引用地址:http://butianyuan.cn/article/201808/385258.htm

`timescale 10ns/1ns

那么5.22對(duì)應(yīng)52ns, 6.17對(duì)應(yīng)62ns。

在編譯過程中,`timescale指令影響這一編譯器指令后面所有模塊中的時(shí)延值,直至遇到另一個(gè)`timescale指令或`resetall指令。當(dāng)一個(gè)設(shè)計(jì)中的多個(gè)模塊帶有自身的`timescale編譯指令時(shí)將發(fā)生什么?在這種情況下,模擬器總是定位在所有模塊的最小時(shí)延精度上,并且所有時(shí)延都相應(yīng)地?fù)Q算為最小時(shí)延精度。例如,

`timescale 1ns/ 100ps

module AndFunc (Z, A, B);

output Z;

input A, B;

and # (5.22, 6.17 ) Al (Z, A, B);

endmodule

`timescale 10ns/ 1ns

module TB;

reg PutA, PutB;

wire GetO;

initial

begin

PutA = 0;

PutB = 0;

#5.21 PutB = 1;

#10.4 PutA = 1;

#15 PutB = 0;

end

AndFunc AF1(GetO, PutA, PutB);

endmodule

在這個(gè)例子中,每個(gè)模塊都有自身的`timescale編譯器指令。`timescale編譯器指令第一次應(yīng)用于時(shí)延。因此,在第一個(gè)模塊中,5.22對(duì)應(yīng)5.2 ns, 6.17對(duì)應(yīng)6.2 ns; 在第二個(gè)模塊中5.21對(duì)應(yīng)52 ns, 10.4對(duì)應(yīng)104 ns, 15對(duì)應(yīng)150 ns。如果仿真模塊TB,設(shè)計(jì)中的所有模塊最小時(shí)間精度為100 ps。因此,所有延遲(特別是模塊TB中的延遲)將換算成精度為100 ps。延遲52 ns現(xiàn)在對(duì)應(yīng)520*100 ps,104對(duì)應(yīng)1040*100 ps,150對(duì)應(yīng)1500*100 ps。更重要的是,仿真使用100 ps為時(shí)間精度。如果仿真模塊AndFunc,由于模塊TB不是模塊AddFunc的子模塊,模塊TB中的`timescale程序指令將不再有效。

3.5.7 `unconnected_drive和`nounconnected_drive

在模塊實(shí)例化中,出現(xiàn)在這兩個(gè)編譯器指令間的任何未連接的輸入端口或者為正偏電路狀態(tài)或者為反偏電路狀態(tài)。

`unconnected_drive pull1

. . .

/*在這兩個(gè)程序指令間的所有未連接的輸入端口為正偏電路狀態(tài)(連接到高電平)*/

`nounconnected_drive

`unconnected_drive pull0

. . .

/*在這兩個(gè)程序指令間的所有未連接的輸入端口為反偏電路狀態(tài)(連接到低電平)*/

`nounconnected_drive

3.5.8 `celldefine 和 `endcelldefine

這兩個(gè)程序指令用于將模塊標(biāo)記為單元模塊。它們表示包含模塊定義,如下例所示。

`celldefine

module FD1S3AX (D, CK, Z) ;

. . .

endmodule

`endcelldefine

某些PLI例程使用單元模塊。

3.6 值集合

HDL有下列四種基本的值:

1) 0:邏輯0或“假”

2) 1:邏輯1或“真”

3) x:未知

4) z:高阻

注意這四種值的解釋都內(nèi)置于語言中。如一個(gè)為z的值總是意味著高阻抗,一個(gè)為0的值通常是指邏輯0。

在門的輸入或一個(gè)表達(dá)式中的為“z”的值通常解釋成“x”。此外,x值和z值都是不分大小寫的,也就是說,值0x1z與值0X1Z相同。 HDL中的常量是由以上這四類基本值組成的。

HDL中有三類常量:

1) 整型

2) 實(shí)數(shù)型

3) 字符串型

下劃線符號(hào)(_)可以隨意用在整數(shù)或?qū)崝?shù)中,它們就數(shù)量本身沒有意義。它們能用來提高易讀性;唯一的限制是下劃線符號(hào)不能用作為首字符。

3.6.1 整型數(shù)

整型數(shù)可以按如下兩種方式書寫:

1) 簡(jiǎn)單的十進(jìn)制數(shù)格式

2) 基數(shù)格式

1. 簡(jiǎn)單的十進(jìn)制格式

這種形式的整數(shù)定義為帶有一個(gè)可選的 “+”(一元)或 “-”(一元)操作符的數(shù)字序列。下面是這種簡(jiǎn)易十進(jìn)制形式整數(shù)的例子。

32 十進(jìn)制數(shù)32

-15 十進(jìn)制數(shù)-15

這種形式的整數(shù)值代表一個(gè)有符號(hào)的數(shù)。負(fù)數(shù)可使用兩種補(bǔ)碼形式表示。因此32在5位的二進(jìn)制形式中為10000,在6位二進(jìn)制形式中為110001;-15在5位二進(jìn)制形式中為10001,在6位二進(jìn)制形式中為110001。

2. 基數(shù)表示法

這種形式的整數(shù)格式為:

[size ] 'base value

size 定義以位計(jì)的常量的位長(zhǎng);base為o或O(表示八進(jìn)制),b或B(表示二進(jìn)制),d或D(表示十進(jìn)制),h或H(表示十六進(jìn)制)之一;value是基于base的值的數(shù)字序列。值x和z以及十六進(jìn)制中的a到f不區(qū)分大小寫。

下面是一些具體實(shí)例:

5'O37 5位八進(jìn)制數(shù)

4'D2 4位十進(jìn)制數(shù)

4'B1x_01 4位二進(jìn)制數(shù)

7'Hx 7位x(擴(kuò)展的x), 即xxxxxxx

4'hZ 4位z(擴(kuò)展的z) , 即zzzz

4'd-4 非法:數(shù)值不能為負(fù)

8'h 2 A 在位長(zhǎng)和字符之間,以及基數(shù)和數(shù)值之間允許出現(xiàn)空格

3'b001 非法: ` 和基數(shù)b之間不允許出現(xiàn)空格

(2+3)'b10 非法:位長(zhǎng)不能夠?yàn)楸磉_(dá)式

注意,x(或z)在十六進(jìn)制值中代表4位x(或z),在八進(jìn)制中代表3位x(或z),在二進(jìn)制中代表1位x(或z)。

基數(shù)格式計(jì)數(shù)形式的數(shù)通常為無符號(hào)數(shù)。這種形式的整型數(shù)的長(zhǎng)度定義是可選的。如果沒有定義一個(gè)整數(shù)型的長(zhǎng)度,數(shù)的長(zhǎng)度為相應(yīng)值中定義的位數(shù)。下面是兩個(gè)例子:

'o721 9位八進(jìn)制數(shù)

'hAF 8位十六進(jìn)制數(shù)

如果定義的長(zhǎng)度比為常量指定的長(zhǎng)度長(zhǎng),通常在左邊填0補(bǔ)位。但是如果數(shù)最左邊一位為x或z,就相應(yīng)地用x或z在左邊補(bǔ)位。例如:

10'b10 左邊添0占位, 0000000010

10'bx0x1 左邊添x占位,xxxxxxx0x1

如果長(zhǎng)度定義得更小,那么最左邊的位相應(yīng)地被截?cái)?。例如?/p>

3'b1001_0011與3'b011 相等

5'H0FFF 與5'H1F 相等

?字符在數(shù)中可以代替值z(mì)在值z(mì)被解釋為不分大小寫的情況下提高可讀性(參見第8章)。

3.6.2 實(shí)數(shù)

實(shí)數(shù)可以用下列兩種形式定義:

1) 十進(jìn)制計(jì)數(shù)法;例如

2.0

5.678

11572.12

0.1

2. //非法:小數(shù)點(diǎn)兩側(cè)必須有1位數(shù)字

2) 科學(xué)計(jì)數(shù)法; 這種形式的實(shí)數(shù)舉例如下:

23_5.1e2 其值為23510.0; 忽略下劃線

3.6E2 360.0 (e與E相同)

5E-4 0.0005



關(guān)鍵詞: Verilog FPGA

評(píng)論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉