新聞中心

EEPW首頁 > EDA/PCB > 市場分析 > 深度解析:晶圓代工TOP10的優(yōu)勢與劣勢

深度解析:晶圓代工TOP10的優(yōu)勢與劣勢

作者: 時間:2023-09-21 來源:半導(dǎo)體產(chǎn)業(yè)縱橫 收藏

9 月 5 日,市場研究機構(gòu) TrendForce 發(fā)布了 2023 年 Q2 全球十大廠的銷售額排名,臺積電仍穩(wěn)居第一,占據(jù)了 56.4% 的市場份額,其后的分別是三星(11.7%)、格芯(6.7%)、聯(lián)電(6.6%)、中芯國際(5.6%)、華虹集團(tuán)(3%)、高塔半導(dǎo)體(1.3%)、力積電(1.2%)、世界先進(jìn)(1.2%)、晶合集成(1%)。

本文引用地址:http://www.butianyuan.cn/article/202309/450808.htm

可以看到,行業(yè)已經(jīng)呈現(xiàn)出一超多強的競爭格局。那么在行業(yè)的眾多參與者當(dāng)中,各家的優(yōu)勢與劣勢分別是什么?

以下為針對各家公司的具體分析。

臺積電

臺積電的優(yōu)勢

臺積電在晶圓代工領(lǐng)域的優(yōu)勢主要有三點,即制程工藝先進(jìn)、良率高和產(chǎn)能龐大。

首先看臺積電的先進(jìn)制程優(yōu)勢。臺積電為幾乎全世界主要芯片開發(fā)商制造芯片,包括蘋果、英偉達(dá)、高通和聯(lián)發(fā)科。緊密地客戶關(guān)系給臺積電提供了強大的抵御外來風(fēng)險能力。根據(jù)其 2023 年 Q2 財務(wù)報告顯示,臺積電 5nm 制程占季度晶圓銷售金額的 30%;7nm 制程占 23%,兩者共占據(jù)臺積電第二季度營收的 53%。

5nm 是臺積電最大營收的制程工藝,這一制程工藝在量產(chǎn)初期的主要客戶是蘋果,隨后又有更多的客戶轉(zhuǎn)入,包括 AMD、高通、聯(lián)發(fā)科、英偉達(dá)、賽靈思等,眾多大客戶的爭搶導(dǎo)致臺積電 5nm 連連爆單。隨后隨著 ChatGPT 的爆火,帶動 AI 芯片和服務(wù)器處理器芯片、HPC 領(lǐng)域客戶投片量增加,5nm 需求再次直線拉升。臺積電的 7nm 技術(shù)不僅適用于 PC、平板電腦和智能手機,還適用于數(shù)據(jù)中心、汽車以及為人工智能執(zhí)行復(fù)雜的訓(xùn)練和推理。

其次看臺積電的良率優(yōu)勢。臺積電始終在先進(jìn)制程上獲得客戶的青睞,并且在市場占有率上持續(xù)領(lǐng)先,產(chǎn)品良率是其中的關(guān)鍵。據(jù)悉,該公司 7nm 制程在量產(chǎn)開始 3 個季度后,其不良率降至每平方厘米 0.09,5nm 制程量產(chǎn)初期,不良率低于同期的 7nm,缺陷密度大約為每平方厘米 0.10~0.11,隨著 5nm 芯片量產(chǎn)進(jìn)程的推進(jìn),不良率降至 0.10 以下。

在實際應(yīng)用中,由于其穩(wěn)定的良率也使其收獲了多筆來自三星等其他代工廠的訂單。比如在 10nm 和 7nm 制程剛剛量產(chǎn)的時候,高通和英偉達(dá)就分別把驍龍 855、865 和 7nm 制程 GPU 芯片轉(zhuǎn)移到了臺積電,隨后在 4nm 制程興起時,高通又將驍龍 8Gen1Plus 的生產(chǎn)訂單轉(zhuǎn)給了臺積電。

最后,看臺積電的產(chǎn)能優(yōu)勢。作為全球晶圓代工巨頭,臺積電擁有著世界最龐大的晶圓制造產(chǎn)能,公司及其子公司所擁有及管理的年產(chǎn)能超過 1500 萬片 12 英寸等效晶圓。具體來看,臺積電在中國臺灣設(shè)有 5 座 12 英寸超大晶圓廠、四座 8 英寸晶圓廠和一座 6 英寸晶圓廠,并擁有南京公司 12 英寸晶圓廠、WaferTech 美國子公司的一座 12 英寸晶圓廠、中國大陸公司松江 8 英寸晶圓廠產(chǎn)能。不僅如此,近期臺積電還在加緊步伐在中國臺灣和日本新建工廠。

臺積電的劣勢

首先,地緣政治的不穩(wěn)定是臺積電面臨的第一大難題。

根據(jù) CounterpointResearch 數(shù)據(jù)顯示,臺積電占據(jù)全球半導(dǎo)體代工 54% 的市場份額,其中超過一半的營收來自美國客戶。這也意味著隨著地緣政治摩擦,臺積電難以再單純遵循經(jīng)濟規(guī)律。之后在 2022 年底,應(yīng)美國邀約臺積電赴美建廠。據(jù)悉臺積電在亞利桑那設(shè)立晶圓廠的成本預(yù)計比中國臺灣高出至少 50%。這也正印證了今日的現(xiàn)狀:亞利桑那州晶圓廠建設(shè)進(jìn)程延宕,臺積電也與當(dāng)?shù)毓g相互指責(zé)、摩擦不斷。

其次,臺積電自身也面臨和三星的競爭,雖然臺積電在全球芯片代工企業(yè)中,占據(jù)絕對的地位,不過三星 在 4nm 和 3nm 芯片制程方面取得的良率突破,也給臺積電帶來了競爭壓力。三星表示正在奮力趕超臺積電。值得注意的是,英特爾也多次表示計劃 2024 年做好 20A、18A 工藝的投產(chǎn)準(zhǔn)備,想來英特爾的先進(jìn)制程發(fā)展路線圖也將給臺積電帶來一定的壓力。

最后,中國大陸地區(qū)半導(dǎo)體產(chǎn)業(yè)的急速發(fā)展也對臺積電的市場份額造成擠壓。在成熟工藝市場,臺積電的技術(shù)以及產(chǎn)能優(yōu)勢正在被無限縮小。要知道,中國大陸這幾年可是在 28nm 制程卯足了勁。


三星

在尖端晶圓制造領(lǐng)域有三大強者,第一家是臺積電,第二家是三星,第三家是英特爾。不過目前進(jìn)入 3nm 之爭的,只有前兩家。

臺積電作為行業(yè)龍頭當(dāng)之無愧,但是既然臺積電擁有百般優(yōu)勢,為何還有眾多芯片設(shè)計廠商的訂單流向三星呢?與臺積電相比,三星又有哪些優(yōu)勢?

三星的優(yōu)勢

出貨量大且價格相對便宜是三星的一大亮點。還記得在芯片工藝進(jìn)入 3nm 制程之時,黃仁勛曾吐槽:「現(xiàn)在的芯片代工不是貴一點點,而是巨幅漲價。」其實早在 7nm 階段,三星就曾靠「大大低于」臺積電的報價爭取到英偉達(dá),之后三星 3nm GAA 工藝的價格雖然也迎來了上漲,但是三星作為追趕者,它的 3nm 價格還是比臺積電便宜不少,因此三星的 3nm 趁機獲得了部分訂單。

除了更低的價格,另一個驅(qū)使眾芯片設(shè)計廠商選擇三星的原因為蘋果作為臺積電「財大氣粗」的大客戶自然獲得訂單優(yōu)先權(quán),畢竟臺積電的先進(jìn)制程產(chǎn)能也是有限的,承接蘋果之后,也難以滿足眾廠商的所有訂單需求。彼時自然有一些訂單會流向可以穩(wěn)定供貨的三星。

此外,三星還有一個隱形的利好,即芯片設(shè)計廠商絕不會希望芯片代工廠一家獨大,因為倘若臺積電獨家稱霸,必然會導(dǎo)致代工價格高企。

三星的劣勢

眾所周知,三星最大的劣勢即良率問題。

據(jù)悉,三星自 5nm 制程開始一直存在良率問題,在 4nm 和 3nm 工藝上情況變得更加糟糕。在早期的 SF4E 工藝正式量產(chǎn)商用后,由于良率相對較低的問題,最終使得三星電子的 4nm 最大客戶高通的后續(xù)驍龍旗艦處理器的訂單都交給了臺積電代工。

不過,近期三星也傳來了積極進(jìn)展。HiInvestment&Securities 研究員樸相佑在一份報告中表示:「三星電子近期成功地提高了 4nm 工藝的成品率」,報道稱,三星電子今年 4nm 工藝成品率超過 75%、3nm 工藝成品率超過 60%。從今年下半年開始,智能手機、PC 等設(shè)備的核心芯片向 3nm 演進(jìn),在臺積電方面無法完全消化 3nm 工藝訂單的情況下,三星電子的良率提升無疑增加了為高通、英偉達(dá)等公司芯片代工的機率。


格芯

格芯的優(yōu)勢

格芯總部位于美國,是從 AMD 公司分拆出來的芯片代工廠,加上阿布達(dá)比創(chuàng)投基金 (ATIC) 合資成立。AMD 僅持有 8.8% 股份,余下大部分由 ATIC 持有。

ATIC 的資金支持就是格芯的一大優(yōu)勢。借助背后石油金主 ATIC 的資金優(yōu)勢,2010 年格芯收購了新加坡的特許半導(dǎo)體晶圓廠,此次收購使格芯在新加坡?lián)碛辛?200 和 300mm 晶圓廠,并擁有大約 200 個客戶,成為僅次于臺積電和聯(lián)電的世界第三大晶圓代工廠。

產(chǎn)能優(yōu)勢是格芯的第二大優(yōu)勢。格芯的足跡遍布全球,在三大洲擁有五個制造基地,擁有約 15000 名員工和約 10000 項全球?qū)@?020 年晶圓出貨量超 200w 片。

差異化競爭的戰(zhàn)略是格芯的第三大優(yōu)勢。與聯(lián)電一樣,格芯同樣放棄了先進(jìn)制程的研發(fā)。2018 年格芯就意識到,通過建造更清潔的潔凈室和購買單位數(shù)的 EUV 光刻機等晶圓廠工具,它仍然可以滿足 70% 的市場需求。相反,該公司將放棄追逐先進(jìn)工藝而節(jié)省下來的資金,用于從現(xiàn)有工藝節(jié)點中開發(fā)更多功能。隨后格芯進(jìn)行持續(xù)的技術(shù)創(chuàng)新,開發(fā)了一種替代 NVM 技術(shù)——MRAM(磁性 RAM)模塊。將閃存擴展到了 28nm 以下,該 MRAM 模塊可用于格芯的 12nmFinFET 和 22nmFDX 平臺。

在模擬射頻芯片領(lǐng)域,格芯已轉(zhuǎn)向射頻 SOI 和 SiGe 晶體管,以將晶體管單位增益頻率(fTs)推向太赫茲,還宣布了一個名為格芯 Connex 的 RF 元平臺,該平臺包含該公司的 RF SOI、FDX、SiGe 和 FinFET 平臺的元素。再一個是硅光子芯片,格芯推出了 SiPh 平臺,該平臺使格芯能夠制造結(jié)合了光子發(fā)射器和檢測器、硅光波導(dǎo)、射頻組件和高性能 CMOS 邏輯的單片器件。格芯采用各向異性蝕刻在單片硅光子芯片中創(chuàng)建精確的 V 形槽,以簡化直接、無源光纖對準(zhǔn)和連接。

美國芯片回流政策支持是格芯的第四大優(yōu)勢。自 2009 年從 AMD 分拆出來,成為純晶圓代工廠商以來,在諸多榜單中,格芯就一直穩(wěn)定地排在臺積電和三星之后,而聯(lián)電穩(wěn)定在第四位,排名順序極少發(fā)生變化。然而在 2020 年底聯(lián)電實現(xiàn)了對格芯的逆襲,占據(jù)第三寶座。然而從 2023 年公布的全球晶圓廠代工排名來看,格芯又再次超越聯(lián)電。

自從 2022 下半年市況反轉(zhuǎn)以來,來自美國本土車用、國防、工控與政府等相關(guān)訂單支持,使格芯業(yè)績穩(wěn)步上升。這在一定程度上反應(yīng)出美國限制中國大陸半導(dǎo)體產(chǎn)業(yè)發(fā)展,并讓芯片制造業(yè)回流到美國本土效應(yīng)對格芯的業(yè)績產(chǎn)生積極影響。

格芯表示將繼續(xù)把注意力集中在成熟制程上。

格芯的劣勢

從行業(yè)發(fā)展看,臺積電等頭部廠商的技術(shù)和規(guī)模優(yōu)勢正進(jìn)一步擴大。從政策紅利看,美國政府已邀請臺積電和三星赴美投資建廠,相比而言,格芯的優(yōu)勢沒那么明顯。

此外,中國半導(dǎo)體市場如今已成為全球晶圓代工的必爭之地,然而格芯在中國并沒有運營代工廠。格芯在招股書中稱,自己能「幫客戶減少地緣政治風(fēng)險」,同樣它也失去了中國這一肥沃的土地。

格芯的劣勢還有一點,即成熟制程代工競爭壓力巨大。從榜單排名來看,全球十大晶圓代工廠中除了榜首兩位,剩下的諸多公司都在成熟制程角逐,群雄而爭之的市場可見競爭壓力有多大。


聯(lián)電

在過去,聯(lián)電和所有半導(dǎo)體大廠一樣,致力于先進(jìn)制程技術(shù)開發(fā)。不過近年來,聯(lián)電運營方向已經(jīng)改變,新方向?qū)⒁詣?chuàng)造獲利為主。

聯(lián)電的優(yōu)勢

深耕 28/22 納米是聯(lián)電的第一個優(yōu)勢。2018 年 8 月,聯(lián)電宣布停止 12nm 以下先進(jìn)工藝研發(fā),更加看重投資回報率,而不是盲目追趕先進(jìn)制程,成為全球第一家宣布放棄先進(jìn)工藝研發(fā)的晶圓代工廠。聯(lián)電放棄先進(jìn)制程時,很多人都在質(zhì)疑這是否為明智之舉,但聯(lián)電不僅因此營收大漲,更是高瞻遠(yuǎn)矚發(fā)現(xiàn)了當(dāng)前需求暴漲的 28nm 等成熟制程的優(yōu)勢,提前一步深耕特色工藝,成為當(dāng)下最機智的企業(yè)。

聯(lián)電 28nm 高壓制程是晶圓代工業(yè)界第一個領(lǐng)先開發(fā)并量產(chǎn) OLED 驅(qū)動 IC,在 28nm 高壓制程這一領(lǐng)域,聯(lián)電具有領(lǐng)先優(yōu)勢。22nm 超低功耗 (ULP) 工藝也是聯(lián)電推進(jìn)的重點。相比 28nm 技術(shù),22nm 元件庫可以在相同性能下減少 10% 的芯片面積,或降低超過 30% 功耗,可滿足連接、移動、物聯(lián)網(wǎng)、可穿戴設(shè)備、網(wǎng)絡(luò)和汽車等對低功耗有著很高需求的應(yīng)用領(lǐng)域產(chǎn)品。

2022 年,聯(lián)電 28nm 和 22nm 制程營收年增超過 56%,主要來自 OLED 面板驅(qū)動 IC 和影像信號處理器(ISP)的強勁需求。在面板驅(qū)動 IC 領(lǐng)域,聯(lián)電的市占率居于全球首位,在有機發(fā)光二極管驅(qū)動 IC 領(lǐng)域也居領(lǐng)先地位。此外,車用 IC 的業(yè)務(wù)量同比增長 82%,并達(dá)到整體業(yè)務(wù)的 9%。

積極扎根大陸本土市場是聯(lián)電的第二個優(yōu)勢。中國是全球最大的集成電路消費市場,但芯片自給率僅為 30%。聯(lián)電把握機會,積極扎根本土市場。2014 年,聯(lián)電與廈門市政府、福建省電子信息集團(tuán)共同投資 62 億美元(約合人民幣 442.4 億元),成立了合資子公司廈門聯(lián)芯。其中,聯(lián)電持有廈門聯(lián)芯 69.95% 股權(quán),大陸方面占股 30.05%。廈門聯(lián)芯是聯(lián)電在大陸地區(qū)投資的首個 12 英寸廠。

聯(lián)電近年來積極推動兩岸融合發(fā)展。2020 年—2022 年,全球芯片產(chǎn)業(yè)出現(xiàn)供應(yīng)緊張問題。在此背景下,聯(lián)電及時向廈門聯(lián)芯增資 35 億元實現(xiàn)產(chǎn)能擴張和技術(shù)提升。

近日,聯(lián)電宣布,原計劃斥資 48.58 億元,從 2022 年起分三年向大陸合資股東回購聯(lián)芯 12 英寸廠的所有股權(quán),現(xiàn)改為一次性完成交易,交易金額不變。

在國際貿(mào)易形式復(fù)雜多變的大環(huán)境下,聯(lián)電在合約規(guī)定時間內(nèi)完成對廈門聯(lián)芯的回購,且將原計劃分三年完成的收購改為一次性完成,讓產(chǎn)業(yè)界感受到聯(lián)電對中國大陸市場的充足信心,以及繼續(xù)深耕中國大陸市場的堅定決心。

聯(lián)電的劣勢

專注于成熟制程是聯(lián)電的優(yōu)勢,同樣也是聯(lián)電的劣勢。2021 年芯片市場嚴(yán)重供不應(yīng)求之際,聯(lián)電產(chǎn)能持續(xù)滿載,更是打響晶圓代工漲價第一槍。當(dāng)年聯(lián)電的年度營收與毛利率都節(jié)節(jié)攀升。然而在市場從昌盛步入萎靡之際,專一的聯(lián)電也承受著嚴(yán)重的打擊。

為應(yīng)對行情的不景氣,聯(lián)電進(jìn)行了嚴(yán)格的成本管控措施,并盡可能推遲部份資本支出,聯(lián)電 2022 下半年將部份資本支出延至今年,所以去年資本支出降至 27 億美元。可是,慘淡的市場大環(huán)境似乎超出了聯(lián)電的預(yù)估,在多個競爭對手的降價策略下,致使聯(lián)電丟失了不少訂單。

相反,擁有先進(jìn)制程技術(shù)的臺積電的日子要比這些專注于成熟市場的晶圓代工廠商舒服的多。

聯(lián)電的第二點劣勢就是在 28nm 這條道路中,參與者越來越多,競爭也愈發(fā)激烈。要知道,擁有 28nm 技術(shù)的廠商,有 10 多家,基本上 Top10 的廠商,都實現(xiàn)了 28nm,大家在激烈的競爭,特別是前 5 大廠商,28nm 都占非常重要的地位,臺積電也在回頭加碼 28nm 產(chǎn)能。再加上最近幾年 28nm 對于中國大陸廠商來說也是一個高頻詞。分食者眾多,聯(lián)電恐難穩(wěn)定維持自己的陣營。


中芯國際

中芯國際對于大眾來說都比較熟悉,其是世界上為數(shù)不多的幾個可以提供完整的從成熟制程到先進(jìn)制程的晶圓制造解決方案的純晶圓代工廠之一。

中芯國際的優(yōu)點

中芯國際有兩大優(yōu)點,第一點是28nm 成熟制程布局較早,第二點是背靠全球最大的晶圓代工市場—中國。

眾所周知,28nm 工藝市場需求巨大。2022 年中芯國際宣布計劃斥資 1700 億元在北京、天津、上海、深圳建立多座 28nm 芯片廠。不只是中芯國際,臺積電和聯(lián)電也瞄準(zhǔn)了 28nm 市場加速進(jìn)行產(chǎn)能擴充。但是相比這些公司來說,中芯國際有著它的先天優(yōu)勢。

臺積電作為先進(jìn)制程的霸者,在如今 5G、HPC 和 AI 浪潮下賺的盆滿缽滿,角逐成熟制程是臺積電的一步棋但并不是它的主力,要知道先進(jìn)制程的利潤要比成熟制程要多得多;三星也面臨相似的境遇,再加上三星代工的客戶群體本就更偏向美方;再看格芯和聯(lián)電,格芯無意在中國大陸展開競爭,聯(lián)電雖然愈發(fā)重視中國大陸市場,但中芯國際作為中國大陸集成電路制造業(yè)領(lǐng)導(dǎo)者要具備更大的優(yōu)勢。再加上,中芯國際并未局限于 28nm,一直在堅持新工藝的開發(fā)。

中芯國際的缺點

中芯國際的缺點同樣具有兩點,第一點是市場份額與臺積電差距較大。臺積電作為晶圓代工市場的龍頭,掌握了全球晶圓代工市場的絕大部分市場份額, 這一點并非朝夕能及。第二點是制程水平有所限制。不過,對于其目前面臨的掣肘大眾都有所了解,在此不做過多贅述。


華虹半導(dǎo)體

華虹的優(yōu)勢

作為全球領(lǐng)先的特色工藝晶圓代工企業(yè),華虹也是行業(yè)內(nèi)特色工藝平臺覆蓋最全面的晶圓代工企業(yè)。華虹半導(dǎo)體立足于先進(jìn)「特色 IC+功率器件」的戰(zhàn)略目標(biāo),以拓展特色工藝技術(shù)為基礎(chǔ),提供包括嵌入式/獨立式非易失性存儲器、功率器件、模擬與電源管理、邏輯與射頻等多元化特色工藝平臺的晶圓代工及配套服務(wù)。

之所以特色工藝發(fā)展路線依然有市場,是因為并不是所有應(yīng)用都需要強大的處理性能。許多中低端的應(yīng)用,如物聯(lián)網(wǎng)設(shè)備、智能家居等,對芯片的性能要求并不高,但對成本和功耗的要求卻非常嚴(yán)格。而這也是特色工藝發(fā)展路線所追求的目標(biāo)。

因此,工藝的成熟度和穩(wěn)定性、工藝平臺的多樣性,以及產(chǎn)品種類的豐富程度,將會是特色工藝的競爭制高點。

從營收上看,2023 年 Q2 中芯國際銷售收入為 15.60 億美元,毛利為 3.17 億美元,毛利率達(dá) 20.3%。華虹半導(dǎo)體 2023 年 Q2 銷售收入達(dá) 6.314 億美元,毛利率 27.7%。

可以看到中芯國際的營收是華虹的 2 倍以上,但是毛利率卻低于華虹,原因在于中芯持續(xù)投入到先進(jìn)制程的研發(fā)和建造,研發(fā)費用率和資本開支都遠(yuǎn)大于華虹,而華虹所在的低端市場,變化較小,而且巨頭們都把重心放在搶奪高端市場上,反而給了華虹生存空間,加上低端市場的產(chǎn)能投入、設(shè)備折舊等都已經(jīng)大致完成,所以華虹的盈利能力處于相對高的水平。

即使在行業(yè)低迷的背景下,華虹半導(dǎo)體產(chǎn)線仍然滿載。華虹半導(dǎo)體總裁唐均君曾對其 Q2 業(yè)績評論道:「截至第二季度末,公司折合 8 英寸月產(chǎn)能增加到了 34.7 萬片。得益于公司在多元化特色工藝平臺上的技術(shù)水準(zhǔn)和業(yè)務(wù)規(guī)模的優(yōu)勢,公司的四條生產(chǎn)線保持滿載運營?!?/p>

華虹的缺點

在華虹半導(dǎo)體 2022 年全年的業(yè)績報告中可以看到,在工藝節(jié)點營收構(gòu)成上,華虹半導(dǎo)體主要集中在 55nm 以下的成熟工藝代工。其中≥0.35 微米的工藝為華虹半導(dǎo)體最大的營收貢獻(xiàn)來源,占比高達(dá) 39.1%;而 55nm 及 65nm 則是公司 2022 財年增長最快的技術(shù)節(jié)點,同比增長高達(dá) 125%。

可以看到,華虹的特長點不屬于臺積電的先進(jìn)制程也躲過了 28nm 的爭奪,這也是為何臺積電、中芯國際盡管在制程工藝方面跑在了前面,但是像華虹這類處于「第三梯隊」的企業(yè)仍有生存空間的原因。不過,隨著時間推移,更為先進(jìn)制程的芯片有可能迅速替代當(dāng)下華虹量產(chǎn) 55nm 的芯片,這也是華虹所面臨的風(fēng)險。另外華虹的市占規(guī)模過小也是限制其發(fā)展的因素之一。


高塔半導(dǎo)體

高塔半導(dǎo)體的優(yōu)勢和劣勢

高塔半導(dǎo)體是以色列的晶圓代工企業(yè),在最新的全球晶圓代工市場排名第七。

高塔半導(dǎo)體的規(guī)模不大,但在特種工藝上處于領(lǐng)先地位,該公司在模擬芯片代工領(lǐng)域排名第一,其射頻和高性能模擬電路領(lǐng)域技術(shù)可支持眾多消費類、工業(yè)設(shè)施級和汽車電子應(yīng)用的高速、低功耗產(chǎn)品。由于其特殊的能力及其在中國業(yè)務(wù)的布局,得到美國芯片巨頭英特爾的青睞。

有分析人士指出,盡管高塔半導(dǎo)體在代工領(lǐng)域的業(yè)務(wù)面窄且業(yè)務(wù)規(guī)模小,但能夠彌補英特爾在代工領(lǐng)域所缺乏的專業(yè)知識和客戶。據(jù)悉,高塔半導(dǎo)體正在為博通等大客戶代工生產(chǎn)芯片。不過就在今年的 8 月份英特爾突然宣布,終止對高塔半導(dǎo)體公司的收購交易,原因是無法及時獲得合并協(xié)議所要求的監(jiān)管批準(zhǔn)。

力積電

力積電聚焦于成熟制程,大約在 90 到 40nm 制程之間。可以看到,從制程來看,力積電不敵臺積電與聯(lián)電,那么它究竟如何實現(xiàn)高速增長并坐到了中國臺灣半導(dǎo)體第三的寶座?

力積電的優(yōu)勢與劣勢

力積電的主營業(yè)務(wù)包括 DRAM、Flash 和晶圓代工是中國臺灣產(chǎn)能最大的存儲器芯片制造公司。它與聯(lián)電相似是「追求市場占有率,而非最先進(jìn)技術(shù)」的半導(dǎo)體公司之一。盡管在芯片制程上落后于臺積電和聯(lián)電一大截,但力積電董事長黃崇仁還是通過技術(shù)上的積累為力積電找到了突破口。

其一便是邏輯與 DRAM 晶元堆疊技術(shù) 3D WoW(3D Wafer on Wafer)。力積電與愛普合作研發(fā),通過將臺積電生產(chǎn)的 55nm CPU 和自家 38nm DRAM 經(jīng)愛普公司異質(zhì)整合之后,實現(xiàn)了遠(yuǎn)超先進(jìn)制程的效能與速度,相比英偉達(dá) 16nm 處理器多出 9 倍效能的速度,相比 AMD 7nm 芯片還多出 6 倍運算速度,但卻比先進(jìn)制程芯片價格更低。

其二,力積電還有一個降低成本占領(lǐng)市場的武器,那便是利用鋁制程來做芯片。相較于其他晶圓代工廠利用銅制程來制作芯片,鋁制程晶圓片的成本進(jìn)一步降低,這也是力積電占領(lǐng)市場,提升毛利率的關(guān)鍵。

力積電與高塔半導(dǎo)體有一些類似的境遇,單一的業(yè)務(wù)面將導(dǎo)致公司承受風(fēng)險的能力沒那么強。眾所周知,存儲市場本就是受半導(dǎo)體周期性波動影響較大的領(lǐng)域,倘若遇上存儲冷季,力積電的營收情況就會急速下滑。比如在過去一周年里存儲市場一蹶不振,力積電 2023 年 Q2 營收為新臺幣 110.09 億元,環(huán)比下降 3.85%,同比暴跌 49.57%,主業(yè)營業(yè)虧損 6600 萬新臺幣,毛利率續(xù)降至 16.81%,創(chuàng)歷年新低。此外,其產(chǎn)能利用率也一路下滑至今年的 60%。


世界先進(jìn)

世界先進(jìn)的優(yōu)勢和劣勢

世界先進(jìn)成立于 1994 年,最開始做的是存儲器,2000 年的時候改做邏輯產(chǎn)品的國際代工。世界先進(jìn)的最大投資人是中國臺灣的臺積電。臺積電不僅是世界先進(jìn)的創(chuàng)始股東,最大單一股東,持有世界先進(jìn) 28% 的股權(quán),也是世界先進(jìn)最主要的技術(shù)來源。同時,臺積電也是世界先進(jìn)最大的客戶。

據(jù)悉,由于臺積電外包給世界先進(jìn)的產(chǎn)品非常的分散和多元,所以不管是淡季還是旺季,這種外包產(chǎn)品占世界先進(jìn)的營收比例都穩(wěn)定保持在 20%-25% 之間。

如今,世界先進(jìn)以特色工藝代工為主,主要負(fù)責(zé)邏輯半導(dǎo)體、嵌入式存儲器等的晶圓代工。作為八英寸晶圓代工龍頭,上半年的景氣過熱給世界先進(jìn)的業(yè)務(wù)帶來很大的提振作用。

世界先進(jìn)與高塔半導(dǎo)體和力積電存在共性,即市場規(guī)模有限且業(yè)務(wù)類型較為局限,這也是多數(shù)中型晶圓代工公司受到局限的主要原因。

晶合集成

晶合集成的優(yōu)勢與劣勢

晶合集成成立于 2015 年,是安徽省首家投資過百億的 12 英寸晶圓代工企業(yè),目前已實現(xiàn) 150nm~90nm 制程節(jié)點的 12 英寸晶圓代工平臺的量產(chǎn),正在進(jìn)行 55nm 制程技術(shù)平臺的風(fēng)險量產(chǎn)。

晶合集成的代工產(chǎn)品被廣泛應(yīng)用于液晶面板、手機、消費電子等領(lǐng)域。2022 年,晶合集成實現(xiàn)在液晶面板驅(qū)動芯片代工領(lǐng)域全球市占第一,月產(chǎn)能以倍增之速一舉突破 10 萬片;在本土驅(qū)動 IC 20% 的全球市占率中,晶合集成貢獻(xiàn)了超八成產(chǎn)能。晶合集成強調(diào),隨著國內(nèi)新能源汽車的市場占有率逐步提升,汽車電子國產(chǎn)化進(jìn)程持續(xù)推進(jìn),公司已通過 110nm 顯示驅(qū)動芯片代工產(chǎn)品成功進(jìn)入汽車電子領(lǐng)域。

歷年來,晶合集成都在致力于技術(shù)創(chuàng)新與工藝研發(fā),不過作為行業(yè)內(nèi)的后入局者,它也存在著諸多不足。

從晶合集成的招股說明書中可以看出,晶合集成營收主要來源于聯(lián)詠科技、集創(chuàng)北方、奇景光電、奕力科技、捷達(dá)微電子等客戶,營收占比甚至一度超過 90%,依賴度過高。此外,這些客戶同時也是晶合集成第二大股東力晶科技關(guān)聯(lián)企業(yè)力積電的客戶,而晶圓代工廠力積電在工藝制程與技術(shù)演進(jìn)方面都要優(yōu)于晶合集成。這就意味著,晶合集成面臨著很大的被替代風(fēng)險。

另外,晶合集成入局較晚,這也意味著其在投資和技術(shù)上已經(jīng)落后一步,自然在業(yè)務(wù)規(guī)模和盈利能力方面有所不足。


晶圓代工行業(yè)持續(xù)低迷

受全球通貨膨脹、消費電子市場持續(xù)低迷進(jìn)一步傳導(dǎo)至供應(yīng)鏈等影響,去年下半年起,晶圓代工廠商便承壓前行。在加速去庫存,提高稼動率的目標(biāo)牽引下,晶圓代工廠商不得不在傳統(tǒng)備貨旺季(第三季度)釋出折扣促銷策略,一些激進(jìn)的廠商甚至降價 20% 攬客。

其實早在今年 1 月,三星電子就表示,第一季度難逃產(chǎn)業(yè)庫存調(diào)整壓力,晶圓代工業(yè)務(wù)產(chǎn)能利用率開始下降。隨后在今年 2 月,三星電子公司表示,行業(yè)庫存調(diào)整導(dǎo)致其晶圓代工業(yè)務(wù)產(chǎn)能利用率下降。當(dāng)時,業(yè)界指出,面對不利局面,三星以價格戰(zhàn)搶單,希望借此挽回頹勢,爭取更多訂單填補產(chǎn)能。不止三星,當(dāng)時,全球晶圓代工廠產(chǎn)能利用率普遍下滑,聯(lián)電產(chǎn)能利用率由先前滿載降至 70% 左右,還傳出有廠商部分產(chǎn)線產(chǎn)能利用率僅剩 50%。

在當(dāng)時行業(yè)不景氣的大背景下,有報道稱,為了刺激合作伙伴使用 N3 制程工藝,臺積電考慮降低這些制程的報價,特別是,臺積電的 N3E 工藝僅使用 19 層 EUV 掩模,并且在制造方面具有較低的復(fù)雜性,成本更低。臺積電可以在不損害盈利能力的情況下降低 N3E 的報價。不過,臺積電 N3 制程降價消息并未得到證實。

可以看到,晶圓代工行業(yè)的低迷期已成為事實。一些觀點認(rèn)為,隨著晶圓代工、芯片設(shè)計廠商庫存不斷消化,以及品牌廠商芯片庫存持續(xù)減少,晶圓代工行業(yè)在今年第四季度將開啟下一個上升循環(huán);但也有觀點認(rèn)為,今年下半年消費電子市場將繼續(xù)疲軟,明年第一季度又是傳統(tǒng)淡季,晶圓代工行業(yè)可能需要到明年第二季度才能迎來拐點,但 2024 年也有可能出現(xiàn)弱復(fù)蘇的現(xiàn)象,反彈力度較小。

接下來看一下各代工廠和研究機構(gòu)對這一問題怎么看。

代工業(yè)拐點何時到來?

具體下沉至廠家,不同的廠商有不同的觀點。

臺積電認(rèn)為,預(yù)計半導(dǎo)體行業(yè)在 2023 下半年逐步復(fù)蘇,下半年業(yè)務(wù)將比上半年強勁。

聯(lián)電表示,下半年還沒看到明顯強勁復(fù)蘇的跡象,并且因為成熟制程占其營收比例較高,所以衰退幅度會更高,降幅約為 11%-13%。

格芯 CEO Thomas Caulfield 認(rèn)為半導(dǎo)體庫存的下降速度比之前預(yù)期的慢,供需回歸至平衡最早也要到今年第二季度,尤其是在智能移動設(shè)備、通信基礎(chǔ)設(shè)施和數(shù)據(jù)等市場中心,以及一般的消費和家用電子市場的低端。其預(yù)計第一季度收入將是公司 2023 年季度收入的低點,全年將實現(xiàn)季度營收的溫和環(huán)比增長。

力積電總經(jīng)理謝再居則表示,本季營收將較首季持平或小幅下滑 3% 至 5%,預(yù)期營運有望在上半年落底。

世界先進(jìn)則預(yù)計大部分客戶的庫存修正將在上半年結(jié)束,因此對第三季度的業(yè)績依然持謹(jǐn)慎與樂觀的態(tài)度,但仍有一些可能延伸至第三季度。

再看一些研究機構(gòu)的看法:

DIGITIMES Research 最新報告顯示,全球代工行業(yè)的綜合收入預(yù)計將在 2024 年恢復(fù)增長,芯片需求仍受到消費電子行業(yè)不確定性的影響,報告涵蓋了代工行業(yè)的最新部署、下一代節(jié)點和技術(shù)路線圖以及產(chǎn)能擴張和收入數(shù)據(jù)。

TrendForce 集邦咨詢預(yù)期在 2023 年 Q2 后,多數(shù)零部件庫存回到較為健康的水位,并因下半年預(yù)期旺季開始進(jìn)行庫存回補時,晶圓廠將不需再經(jīng)由降價刺激需求?!敢话銇碚f,晶圓廠產(chǎn)能利用率在 85% 以上都屬于健康水平。」

IDC 預(yù)期 2023 年全球晶圓代工市場規(guī)模將小幅衰退 6.5%。然而,相較整體半導(dǎo)體供應(yīng)鏈,晶圓代工業(yè)跌幅較輕,預(yù)期 2024 年整體產(chǎn)業(yè)有望重回正軌。

群智咨詢(Sigmaintell)預(yù)測,晶圓廠長期降價、實施價格戰(zhàn)的可能性不大,伴隨 2023 年 Q4 終端傳統(tǒng)需求旺季到來,晶圓代工廠將有望在 Q3 迎來訂單恢復(fù)性增長,屆時部分晶圓廠為與客戶新增長約,有可能再給予有限幅度價格優(yōu)惠,整體價格水平將趨于穩(wěn)定。

據(jù)了解,此次產(chǎn)能增長的主要驅(qū)動力來自于 5G、AI、物聯(lián)網(wǎng)等新興技術(shù)的發(fā)展。這些技術(shù)對于高性能計算和數(shù)據(jù)處理的需求越來越高,從而帶動了晶圓代工產(chǎn)業(yè)的增長。此外,新能源汽車市場的快速發(fā)展也為晶圓代工產(chǎn)業(yè)帶來了新的增長點。從地區(qū)來看,中國大陸、臺灣地區(qū)和韓國將成為未來幾年晶圓代工產(chǎn)能增長的主要地區(qū)。其中,中國大陸憑借政策扶持、產(chǎn)業(yè)鏈完整等優(yōu)勢,已經(jīng)成為全球最大的晶圓代工市場。預(yù)計到 2024 年,中國大陸的晶圓代工產(chǎn)能將占據(jù)全球市場份額的約 30%。



關(guān)鍵詞: 晶圓代工

評論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉