新聞中心

EEPW首頁(yè) > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 利用強(qiáng)大的軟件設(shè)計(jì)工具為FPGA開(kāi)發(fā)者賦能

利用強(qiáng)大的軟件設(shè)計(jì)工具為FPGA開(kāi)發(fā)者賦能

作者:軟件和解決方案部 黃琦 時(shí)間:2024-07-17 來(lái)源:EEPW 收藏

許多嵌入式系統(tǒng)的開(kāi)發(fā)者都對(duì)使用基于的SoC系統(tǒng)感興趣,但是基于傳統(tǒng)HDL硬件描述語(yǔ)言的開(kāi)發(fā)工具和復(fù)雜流程往往會(huì)令他們望而卻步。為了解決這一問(wèn)題,的Propel工具套件提供了基于圖形化設(shè)計(jì)方法的設(shè)計(jì)環(huán)境,用于創(chuàng)建,分析,編譯和調(diào)試基于的嵌入式系統(tǒng),從而完成系統(tǒng)軟硬件設(shè)計(jì)。

本文引用地址:http://www.butianyuan.cn/article/202407/461113.htm

的Propel工具套件由兩部分組成:Propel Builder提供圖形化的SoC系統(tǒng)和硬件設(shè)計(jì),通過(guò)拖放方式,選擇處理器和相關(guān)的外設(shè)與IP,通過(guò)圖形化的方式進(jìn)行配置和連接,從而完成系統(tǒng)層面的硬件設(shè)計(jì); Propel SDK通過(guò)圖形化的集成開(kāi)發(fā)環(huán)境(IDE)和工具鏈,基于Builder提供的硬件信息,完成相對(duì)應(yīng)的軟件開(kāi)發(fā)。

1721211692193077.png

在最新版本的Propel(2024.1)中,“進(jìn)一步簡(jiǎn)化開(kāi)發(fā)周期,改善軟硬件設(shè)計(jì)人員的體驗(yàn),使他們能夠?qū)W⒂贔PGA處理器系統(tǒng)的創(chuàng)新和優(yōu)化。”仍然是不變的核心設(shè)計(jì)理念。

例如,具有拖放IP實(shí)例化和“構(gòu)建即正確”的設(shè)計(jì)方法,大大增強(qiáng)了易用性;通過(guò)圖形化設(shè)計(jì)界面的易用性,輔之以命令行工具的快捷性,能夠靈活得滿足各種技能水平的設(shè)計(jì)人員的需要;集成的IP服務(wù)器和本地端IP倉(cāng)庫(kù),提供了豐富的IP資源,可以幫助開(kāi)發(fā)人員在基于萊迪思的FPGA芯片上快速構(gòu)建新的SoC嵌入式系統(tǒng);SDK通過(guò)集成的IDE和工具鏈,中間庫(kù),電路板級(jí)支持包(BSP),能快速實(shí)現(xiàn)軟件開(kāi)發(fā),編譯,調(diào)試,并協(xié)同硬件進(jìn)行系統(tǒng)集成。

萊迪思Radiant是另一款適用于網(wǎng)絡(luò)邊緣應(yīng)用的全功能、易于使用的工具套件,通過(guò)提供強(qiáng)大的優(yōu)化和分析功能,實(shí)現(xiàn)快速和可預(yù)測(cè)的設(shè)計(jì)收斂。其模塊化、基于向?qū)У膱D形用戶界面可以直觀地引導(dǎo)用戶進(jìn)行設(shè)計(jì)創(chuàng)建、綜合、約束輸入、設(shè)計(jì)分析、調(diào)試和編程等設(shè)計(jì)流程,讓軟件的易用性達(dá)到新的高度。在最新的更新中,Radiant已經(jīng)可以支持Windows 10/11(64 bit)操作系統(tǒng)和Linux操作系統(tǒng)(Red Hat Enterprise Linux 7.9 64位、8.4或8.8 64位以及Ubuntu 20.04或22.04 LTS 64位和CentOS 8.4)。

使用多功能行業(yè)標(biāo)準(zhǔn)的設(shè)計(jì)方法,為設(shè)計(jì)人員和嵌入式軟件開(kāi)發(fā)者帶來(lái)信心,也是萊迪思軟件工具的特點(diǎn)。例如,Radiant使用了在FPGA行業(yè)中被廣泛用于設(shè)計(jì)約束的SDC格式文件,Propel SDK使用基于Eclipse的行業(yè)標(biāo)準(zhǔn)工具。同時(shí),萊迪思綜合工具廣泛還覆蓋了各種設(shè)計(jì)入門語(yǔ)言,包括FPGA支持的所有標(biāo)準(zhǔn)語(yǔ)言,如VHDL、Verilog和System Verilog。在新版本中,與Verilog類似,Propel 2024.1增強(qiáng)了對(duì)VHDL的支持,用VHDL和Verilog編寫的RTL代碼可轉(zhuǎn)換為膠合邏輯組件,用于系統(tǒng)集成。

除此之外,萊迪思軟件工具集中還包括了智能規(guī)劃功能,比如Radiant和Diamond都可以顯示時(shí)序圖、功耗計(jì)算器、布線矩陣、物理布局和擁塞等信息,或者是設(shè)計(jì)中發(fā)生擁塞的位置并進(jìn)行更改。

這意味著設(shè)計(jì)人員可以自定義實(shí)現(xiàn)方式以滿足他們的需求——如確保引腳正確放置,顯示模塊的位置和可優(yōu)化的模塊等。通過(guò)此類高級(jí)優(yōu)化功能,設(shè)計(jì)人員能夠?yàn)槠骷?shí)現(xiàn)最佳的時(shí)序和面積優(yōu)化。此外,精確的分析功能實(shí)現(xiàn)了設(shè)計(jì)的可視化,用戶可以通過(guò)相關(guān)報(bào)告,了解是否實(shí)現(xiàn)了設(shè)計(jì)結(jié)果。

與智能性、便捷性同等重要的是安全性。如今,網(wǎng)絡(luò)攻擊的頻率和復(fù)雜性正逐步增加,網(wǎng)絡(luò)安全和網(wǎng)絡(luò)保護(hù)恢復(fù)是所有應(yīng)用設(shè)計(jì)人員要考慮的頭等大事。為了實(shí)現(xiàn)這一目標(biāo),萊迪思在其軟件中提供了行業(yè)領(lǐng)先的安全特性,尤其是Radiant?和Propel?設(shè)計(jì)軟件的最新版本現(xiàn)已支持全新的MachXO5D-NX FPGA系列和最新的Sentry解決方案集合。

總體而言,無(wú)論是經(jīng)驗(yàn)豐富的工程師還是剛剛?cè)腴T的新手,無(wú)論面對(duì)的是簡(jiǎn)單的應(yīng)用還是復(fù)雜的嵌入式控制和數(shù)據(jù)處理系統(tǒng),憑借直觀的界面和全面的功能,萊迪思軟件工具集都將幫助FPGA開(kāi)發(fā)人員在其應(yīng)用和系統(tǒng)開(kāi)發(fā)中快速、高效地取得設(shè)計(jì)成功。



評(píng)論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉