新聞中心

EEPW首頁 > 嵌入式系統(tǒng) > 設計應用 > 基于MCU+CPLD變壓器測試系統(tǒng)的設計與實現(xiàn)

基于MCU+CPLD變壓器測試系統(tǒng)的設計與實現(xiàn)

——
作者:韓行1,關社軍2 時間:2007-01-26 來源:《現(xiàn)代電子技術》 收藏

1 引言

bx型信號變壓器,bg型軌道變壓器和zg型硅整流器作為鐵路信號電器設備的前端,其工作的穩(wěn)定性、準確性直接關系到行車安全,變壓器的可靠檢測是嚴抓質(zhì)量的第一步,對于生產(chǎn)廠家而言,測試流程不允許抽樣,且測試結果應留檔。本套測試系統(tǒng)用來測試鐵路變壓器的各種要求參數(shù),包括原邊空載電流、次邊空載電壓、次邊帶載電壓電流,變壓器絕緣電阻、原邊電壓頻率,測量結果精度要求3%,測試系統(tǒng)分為上下位機兩大部分,下位機運用智能儀表的設計思想,在mcu和cpld控制基礎上對各種要求測試參數(shù)分別進行自動測試,上位機上采用vc++6.0編寫軟件實現(xiàn)串口通訊,歸類統(tǒng)計并判斷是否合格,存儲打印結果,系統(tǒng)滿足操作簡單可靠,提高效率,減少誤差。

2 功能介紹

本文引用地址:http://butianyuan.cn/article/21415.htm

下位機以80c196kc作為控制中樞,其主程序框圖見圖1,變壓器接入測試儀測試端后接通電源,打開測試儀,測試儀首先進行初始化,包括psd,全局變量、串口、中斷8253計數(shù)器,lcd等的初始化,然后進行自檢,包括檢查測試儀內(nèi)部電路是否正常,是否響鈴(由變壓器輸入端電壓接反引起的報警),連續(xù)檢測8個周期的輸入端電壓并判斷輸入電源是否接好,電壓是否正常,通訊是否正常,自檢過程是串級檢測(任一項自檢不合格就閃燈報警),mcu根據(jù)鍵入值顯示工作狀態(tài),并調(diào)用子程序處理,人工輸入變壓器型號,監(jiān)測儀進入設置狀態(tài),控制相應繼電器動作,將該變壓器所有副邊繞組接入測試端,并選擇合適量程,進入測試狀態(tài),測完參數(shù)后mcu進行處理并顯示相應變壓器測試結果,進入判斷狀態(tài),判斷變壓器是否合格,不合格則觸發(fā)蜂鳴器報警,根據(jù)要求進入通訊狀態(tài),向上位機發(fā)送和接收數(shù)據(jù)。

本設計采用max7000系列的epm7128兩塊,其中第一塊cpld(1)的主要功能如下:

(1)與a/d芯片(max125)相應片腳連接,控制模/數(shù)轉(zhuǎn)換并讀入其結果,max125為并行輸出,是以字進行操作的,所以一次要讀14位,用兩個字節(jié)鎖存。

(2)通過系統(tǒng)總線,將a/d轉(zhuǎn)換結果傳給80c196進行處理。

(3)將80c196處理過的需顯示的數(shù)據(jù)緩沖,產(chǎn)生lcd的數(shù)據(jù)(8位)總線驅(qū)動。

(4)鍵盤響應。

(5)jtag功能。

第二塊cpld(簡稱cpld(2))依據(jù)mcu發(fā)出的指令或輸入型號譯碼后驅(qū)動控制與該型號二次繞組對應的繼電器吸合與釋放動作,并選擇量程。由于繼電器沒有地址,所有操作他的數(shù)據(jù)必須同時發(fā)送,即使只改變一個繼電器的狀態(tài),仍然要把沒有改變的繼電器的數(shù)據(jù)同時送給cpld鎖存,所以譯碼是必需的。

cpld的功能結構示意圖如圖2所示,可以認為,cpld在下位機中起著重要的關聯(lián)作用,一方面,他與mcu相互通訊,并受mcu控制,另一方面,cpld又執(zhí)行著對前端電路、鍵盤、lcd和繼電器板等的操作任務,所以從系統(tǒng)總體的角度出發(fā),cpld完成一些接口功能。

3 頻率跟蹤測量技術

本系統(tǒng)需要對變壓器的電壓、電流和頻率等進行交流采樣,而交流采樣應用成功與否,頻率的準確測量是一個關鍵,因為我們是取8個正常采樣周期的平均電壓、電流值,而且由于不同型號變壓器的輸出頻率不一,本身也需要測量頻率。響應時間、測量精度以及硬件要求是測頻方法的主要指標。

我們可以定量分析一下由電壓信號頻率波動所引起的電壓信號有效值誤差的大小。

一般工頻頻率的波動常常要到0.1hz以上,即δf>0.1/50=0.5%,則對電壓信號有效值的影響要達到0.25%,所以應實現(xiàn)采樣頻率對工頻頻率的在線自動跟蹤,以保證測量精度。

考慮到系統(tǒng)的頻率不是變化很快,要實現(xiàn)采樣頻率隨系統(tǒng)工頻的變化而實時調(diào)整,可先測得系統(tǒng)的頻率前一周期對應的計數(shù)值tc(以單片機系統(tǒng)的定時器時鐘周期為單位),然后根據(jù)每周波采樣點數(shù)(n),適時計算出每一采樣間隔計數(shù)值tsj。

間隔計數(shù)值:

tsj=tc/n (2)

則以tsj為周期進行采樣,即可實現(xiàn)采樣頻率的實時跟蹤,保證了交流等間隔采樣,為實現(xiàn)這一過程,通常采用的電路結構為:來自電壓(流)互感器的電壓(流)經(jīng)過低通濾波器和跟隨器,經(jīng)過零比較器(可用lm339)整形成方波,經(jīng)光耦(如4n35)送到80c196kc的高速輸入接口 hs1.0利用方波的上升沿觸發(fā)高速輸入中斷,側(cè)得每個工頻周期計數(shù)值tc。單片機由式(2)計算得到采樣間隔時間tsj。以tsj為時間間隔,設置軟件定時器中斷,在軟件定時器中斷中進行采樣間隔設置,主程序根據(jù)其確定啟動a/d的時間間隔,完成周期誤差的大大減少和采樣頻率的實時跟蹤。

4 cpld模塊設計

明確了cpld的主要作用后,我們在max+plus ii軟件上用vhdl語言分別實現(xiàn)這些模塊功能,設計包括4個階段:設計輸入、設計處理、設計驗證和器件編程。vhdl主要用于描述數(shù)字系統(tǒng)的結構、行為、功能和接口。非常適用于可編程邏輯芯片的應用設計。

4.1 主要模塊進程

系統(tǒng)檢測變壓器時,mcu在正常初始化后,有鍵盤輸入時會要求cpld運行按鍵響應進程;cpld根據(jù)所鍵入型號運行繼電器控制進程,操作繼電器板,并上傳操作結果,mcu在認為前述操作正常后,才會要求cpld啟動對a/d控制的進程,模/數(shù)轉(zhuǎn)換結果會送給mcu;mcu對轉(zhuǎn)換結果進行處理后,又會要求cpld運行顯示進程,顯示結果lcd上。

4.2 仿真

以讀a/d結果(readoperation)進程為例進行仿真。

——進程名稱:readoperation

——敏感變量,rd

——輸出變量,databusin(送到數(shù)據(jù)總線上)

——目的:讀操作

readoperation:process(rd,enlcd,en125low,en125high,csif,csim)

需要說明的是,信號變量在仿真時需聲明其初始值,本讀操作進程中的信號變量自會有其他進程(如片選進程)為其賦值,“&”作為連接運算符,可將多個對象或矢量連接成位數(shù)更大的矢量,對readoperation進程編譯仿真的波形圖如圖3所示。

5 結語

經(jīng)過現(xiàn)場試用得到實驗測試數(shù)據(jù),表1列出3臺bx1-34型變壓器的部分參數(shù)測試結果,其中i次空流代表原邊空載電流,空壓ii12指二次繞組1和2端的空載電壓,其他類推,ii次空壓為二次繞組的總空載電壓,滿載電壓指在二次繞組串接8ω電阻時的電壓值。

結果滿足《信號維護規(guī)則)中對變壓器“輸入額定電壓,二次端子電壓空載時其誤差不大于端子額定電壓值的10%;容量為30-60va的變壓器滿載時,其二次端子電壓不小于端子額定電壓值的85%”的規(guī)定。

本次開發(fā)綜合考慮了mcu和cpld的相互作用,采用了交流采樣技術,認真考慮vhdl進程并行和cpld的結構特點,并應用電路簡化的幾種技巧與方法,充分利用cpld的硬件資源優(yōu)化電路,實現(xiàn)系統(tǒng)對穩(wěn)定性,精確度等方面的要求。



關鍵詞:

評論


相關推薦

技術專區(qū)

關閉