新聞中心

EEPW首頁(yè) > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 由可編程邏輯器件與單片機(jī)構(gòu)成的雙控制器

由可編程邏輯器件與單片機(jī)構(gòu)成的雙控制器

作者: 時(shí)間:2012-02-25 來(lái)源:網(wǎng)絡(luò) 收藏

end if;

end process;

cxout=shift;將八位變量送至端口

end rtl;

與之相對(duì)應(yīng)的單片機(jī)控制子程序如下(待發(fā)數(shù)據(jù)存放在A中):

CS EQU P1.4

EN EQU P1.5

DCLOCK EQU P1.6

DOUT EQU P1.7

CONV:PUSH 07H

MOV R7,#8 ;將移位個(gè)數(shù)8存入R7

CLR DCLOCK

SETB CS ;選中移位寄存器

CLR EN

CLR C

JXL:RLC A ;左移一位,將待發(fā)數(shù)據(jù)送至CY

MOV DOUT,C ;送至端口

ACALL YS1MS

SETB DCLOCK ;給一個(gè)上升沿,將數(shù)據(jù)移入移位寄存器

ACALL YS1MS

CLR DCLOCK

DJNZ R7,JXL ;若未到8次則傳送下一位

SETB EN ;八位命令字全部移入,給EN一個(gè)上升沿,使執(zhí)行相應(yīng)操作

ACALL YS1MS

POP 07H

RET

1.3 可編程邏輯器件到單片機(jī)的

可編程邏輯器件到單片機(jī)的與單片機(jī)到可編程邏輯器件的類似,只不過(guò)八位寄存器改為并入串出,其端口如圖2所。當(dāng)單片機(jī)的cs=1時(shí),寄存器被選中;當(dāng)load=1時(shí),待發(fā)的數(shù)據(jù)被加載到bxin上;當(dāng)clk上升沿到來(lái)時(shí),將數(shù)據(jù)一位一位移出至bxout上,與此同時(shí),單片機(jī)一位一位接收到自 bxout上的數(shù)據(jù)。在clk八個(gè)上升沿后,加載到bxin的數(shù)據(jù)便被傳送至單片機(jī)的A寄存器中

2 雙控制系統(tǒng)的實(shí)現(xiàn)原理

由于單片機(jī)端口有限,所以大部分外圍器件的連線靠來(lái)完成,而對(duì)時(shí)序控制要求較高的那些外圍器件則靠單片機(jī)來(lái)間接控制。所謂間接控制就是指應(yīng)用者可以自定義很多個(gè)命令字,每個(gè)命令字對(duì)應(yīng)著一項(xiàng)或一系列操作。當(dāng)單片機(jī)想讓某外部器件實(shí)現(xiàn)某種功能時(shí),可以通過(guò)串行通信把命令字傳送給可編程邏輯器件 CPLD。CPLD收到該命令字關(guān)判斷命令字后,便在EN的上升沿到來(lái)后執(zhí)行相應(yīng)的操作。這里值得一提的是:命令字傳送給CPLD后,只有在EN的上升到來(lái)后CPLD才能執(zhí)行相應(yīng)操作。這是為了防止命令字在字傳送期間使CPLD誤動(dòng)作。

當(dāng)外部器件有中斷信號(hào)反饋到控制器時(shí),也可將特定的命令字由CPLD傳送給,使 產(chǎn)生中斷進(jìn)行處理。但無(wú)論有多少個(gè)外圍器件需產(chǎn)生中斷控制。在AT89CF51中斷子程序中,運(yùn)行由CPLD向單片機(jī)的串行通信程序,將特定的命令字讀到A寄存器中,從而可知是如個(gè)外圍器件產(chǎn)生的中斷(由應(yīng)用者自行定義),進(jìn)而可知需何種外部操作。

本雙控制系統(tǒng)在項(xiàng)目設(shè)計(jì)中應(yīng)用起來(lái)非常靈活方便,這里采用的是八位命令字,最多可自定義256個(gè)命令字。由于同時(shí)采用了單片機(jī)和CPLD,因此編程非常靈活方便,工程人員可根據(jù)自身特點(diǎn)而相應(yīng)選擇。例如,有些人的單片機(jī)編程能力要比CPLD語(yǔ)言編程略好一些,那他可在VHDL語(yǔ)言編程中把命令字對(duì)應(yīng)的操作定義得簡(jiǎn)單一些(最簡(jiǎn)單的莫過(guò)于使某個(gè)管腳變?yōu)楦唠娖交虻碗娖剑蝗羰窍牒?jiǎn)化單片機(jī)的編程,則可使高電平或低電平);若是想簡(jiǎn)化單片機(jī)的編程,則可使VHDL語(yǔ)言編程中特定命令字對(duì)應(yīng)的操作復(fù)雜一些。

單片機(jī)的編程過(guò)程就是對(duì)A寄存器寫不同命令字,然后調(diào)用串行通信子程序的過(guò)程。若是VHDL的命令字,然后調(diào)用串行通信子程序的過(guò)程。若是VHDL的命令字對(duì)應(yīng)程序簡(jiǎn)單些,則要完成對(duì)某特定外圍器件的操作所需要的命令字調(diào)用就會(huì)多一些。反之亦然,僅此而已。

總之,用單片機(jī)與CPLD構(gòu)成的雙控制器,此用它們各自獨(dú)立開(kāi)發(fā)項(xiàng)目要容易得多。


上一頁(yè) 1 2 下一頁(yè)

關(guān)鍵詞: CPLD AT89C51 串行通信

評(píng)論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉