新聞中心

EEPW首頁 > 嵌入式系統(tǒng) > 設計應用 > 對FIR數(shù)字濾波器的FPGA實現(xiàn)的研究

對FIR數(shù)字濾波器的FPGA實現(xiàn)的研究

作者: 時間:2010-04-26 來源:網(wǎng)絡 收藏

  如今,已成為系統(tǒng)的核心器件,尤其在數(shù)字通信、網(wǎng)絡、視頻和圖像處理等領域?,F(xiàn)在的不僅包含查找表、寄存器、多路復用器、分布式塊存儲器,而且還嵌入專用的快速加法器、乘法器和輸入,輸出設備。具有實現(xiàn)高速并行運算的能力,因而成為高性能的理想器件。此外,與專用集成電路(ASIC)相比,F(xiàn)PGA具有可重復編程的優(yōu)點。

  根據(jù)單位脈沖響應的不同,主要分為有限脈沖響應()和無限脈沖響應(IIR)2大類。在同樣的設計要求下,IIR方式計算工作量較小。但難以得到線性相位響應,且系統(tǒng)不易穩(wěn)定;方式的計算工作量稍大,但在設計任意幅頻特性時,能保證嚴格的線性相位特性;由于其實現(xiàn)結構主要是非遞歸的,F(xiàn)lR濾波器可以穩(wěn)定工作。是數(shù)字多普勒接收機的重要組成部分,因此,研究FIR的實現(xiàn)技術具有重要意義。隨著FPGA技術的不斷發(fā)展,F(xiàn)PGA逐漸成為信號處理的主流器件。而在FPGA中,數(shù)字濾波器不同的實現(xiàn)方法所消耗的FPGA資源是不同的,且對濾波器的性能影響也有較大差異。

  1 FIR濾波器的原理及結構

  FIR濾波器存在N個抽頭的h(n),N稱為濾波器的階數(shù),其數(shù)學表達式為:

公式

  式中,x(k)為第k時刻的采樣值,y(n)為濾波器輸出。h(k)為FIR濾波器的第k級抽頭系數(shù)。

  通過對h(k)進行Z變換得到FIR的傳遞函數(shù)H(Z),其在Z域內(nèi)的形式如下:

公式

  因此,根據(jù)傳遞函數(shù)H(Z)和FIR濾波器系數(shù)的對稱性,可得FIR濾波器的一般實現(xiàn)結構,如圖1所示。

FIR濾波器的一般實現(xiàn)結構

  從串行結構中可以看出,F(xiàn)IR濾波過程就是一個信號逐級延遲的過程,將各級延遲輸出加權累加,得到濾波輸出,其中最主要的運算是乘累加運算。FIR每完成一次濾波過程需要進行N次乘法和(N-1)次加法運算,N為濾波器的階數(shù)。所以,濾波器的運算量完全取決于N的大小,當N很大時,延遲將非常長,無法實現(xiàn)高速信號處理。

  根據(jù)FIR數(shù)字濾波器的對稱特性,可以先進行加法運算,然后對加法運算的結果進行串行乘累加運算,從而得到改進的串行結構。與串行結構相比,改進的濾波器完成一次濾波的時鐘周期減半,乘累加次數(shù)減半,提高了處理速度,但同時要消耗更多的硬件資源。圖1(b)為Ⅳ位偶數(shù)時改進的串行結構。與串行結構相似,濾波器的運算量完全取決于N的大小,當N很大時,延遲將非常長,無法實現(xiàn)高速信號處理。

  將串行結構展開,根據(jù)濾波器的信號流圖用多個乘法器和加法器并行實現(xiàn),得到FIR濾波器的并行實現(xiàn)結構,如圖1(c)所示。并行濾波器的濾波速度快,一個時鐘周期內(nèi)完成一次濾波,但消耗大量的FPGA資源,如乘累加器,且器件的延遲較大,工作頻率不宜太高。

  FPGA具有規(guī)整的內(nèi)部邏輯陣列和豐富的連線資源,特別適合用于。但以前FPGA一般用于系統(tǒng)邏輯或時序控制,很少應用在信號處理方面。其原因主要是FPGA中缺乏實現(xiàn)乘法運算的有效結構。隨著FPGA技術的不斷發(fā)展,查找表(LUT)技術的應用有效地解決了這個問題,使FPGA在數(shù)字信號處理方面得到了廣泛應用。


上一頁 1 2 3 下一頁

評論


相關推薦

技術專區(qū)

關閉