新聞中心

EEPW首頁(yè) > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > 基于FPGA的多功能電子密碼鎖設(shè)計(jì)

基于FPGA的多功能電子密碼鎖設(shè)計(jì)

作者: 時(shí)間:2014-03-25 來源:網(wǎng)絡(luò) 收藏

0 引言

本文引用地址:http://butianyuan.cn/article/235292.htm

傳統(tǒng)機(jī)械鎖的防盜功能差,在現(xiàn)代高科技安防系統(tǒng)中無(wú)法起到作用,已逐步被更可靠、更智能的電子數(shù)字密碼鎖代替。目前市場(chǎng)上的大部分密碼鎖產(chǎn)品是以單片機(jī)為核心的,利用軟件進(jìn)行控制,實(shí)際應(yīng)用中系統(tǒng)穩(wěn)定性較差且成本高。本文研究的是電子密碼鎖的一種純硬件實(shí)現(xiàn)方案,為彌補(bǔ)傳統(tǒng)技術(shù)的不足,采用EDA技術(shù)在可編程芯片上實(shí)現(xiàn)密碼的存儲(chǔ)、運(yùn)算等操作,使產(chǎn)品既具有硬件的安全性和高速性,又具有軟件開發(fā)的靈活性和易維護(hù)性。

1 主要技術(shù)與開發(fā)環(huán)境

1.1 EDA技術(shù)及特點(diǎn)

EDA(Electronic Design Automation),即“電子設(shè)計(jì)自動(dòng)化”,是目前電子設(shè)計(jì)領(lǐng)域中的主流技術(shù)。EDA技術(shù)就是依靠功能強(qiáng)大的電子計(jì)算機(jī),在EDA 工具軟件平臺(tái)上,對(duì)以硬件描述語(yǔ)言為系統(tǒng)邏輯描述手段完成的設(shè)計(jì)文件,自動(dòng)地完成邏輯編譯、化簡(jiǎn)、分割、綜合、優(yōu)化和仿真,直至下載到可編程邏輯器件CPLD/ 或?qū)S眉呻娐稟SIC 芯片中,實(shí)現(xiàn)特定的電子電路設(shè)計(jì)功能。與傳統(tǒng)電子設(shè)計(jì)方法相比,EDA技術(shù)具有以下主要優(yōu)勢(shì):

(1)可以在電子設(shè)計(jì)的各個(gè)階段、各個(gè)層次進(jìn)行計(jì)算機(jī)模擬驗(yàn)證;

(2)獨(dú)特的自頂向下的電子設(shè)計(jì)方案;

(3)使設(shè)計(jì)者擁有完全的自主權(quán)。

1.2 硬件描述語(yǔ)言

(Very High Speed Integration Circuits Hard-ware Description Language),即“超高速集成電路硬件描述語(yǔ)言”,是當(dāng)今電子設(shè)計(jì)領(lǐng)域的主流硬件描述語(yǔ)言。

它具有很好的電路行為描述能力和系統(tǒng)描述能力,且具有與具體硬件電路無(wú)關(guān)、與設(shè)計(jì)平臺(tái)無(wú)關(guān)的特性,使得設(shè)計(jì)者可以專心致力于系統(tǒng)功能的實(shí)現(xiàn),而不需要對(duì)不影響功能的、與工藝有關(guān)的因素花費(fèi)過多的時(shí)間和精力。

1.3 開發(fā)環(huán)境

1.3.1 軟件平臺(tái)

QuartusⅡ是Altera公司推出的新一代開發(fā)軟件,支持多種編輯輸入法,包括圖形輸入法,基于硬件描述語(yǔ)言的文本編輯輸入法和內(nèi)存編輯輸入法。它支持Al-tera 的IP 核,包含了LPM/MegaFunction 宏功能模塊庫(kù),使用戶可以充分利用成熟的模塊,簡(jiǎn)化自己的設(shè)計(jì)、提高開發(fā)效率。

Quartus Ⅱ作為一種可編程邏輯的設(shè)計(jì)環(huán)境,其強(qiáng)大的設(shè)計(jì)能力已經(jīng)成為廣大設(shè)計(jì)人員首選的開發(fā)工具,本設(shè)計(jì)采用的是Quartus Ⅱ9.0版本作為開發(fā)平臺(tái)。

1.3.2 硬件平臺(tái)

CPLD(Complex Programmable Logic Device),即復(fù)雜可編程器件,由于CPLD具有編程靈活、集成度高、設(shè)計(jì)開發(fā)周期短、制造成本低、保密性強(qiáng)等特點(diǎn),本文的硬件測(cè)試是基于MAXⅡ系列的CPLD上完成的,芯片型號(hào)為EPM240T100C5.

2 密碼鎖功能要求

目前市面上的電子密碼鎖,只允許用戶輸入固定位數(shù)的密碼。但在實(shí)際應(yīng)用中,密碼位數(shù)太多,不便于老年用戶記憶,而位數(shù)太少,又不能滿足一些對(duì)安全性能要求高的青年用戶。本文中,基于CPLD 的集成度高、成本低的特點(diǎn),克服了以上缺點(diǎn),讓用戶自行設(shè)定密碼位數(shù),該功能在同行業(yè)產(chǎn)品競(jìng)爭(zhēng)中有一定的優(yōu)勢(shì)。

設(shè)計(jì)的電子密碼鎖可以完成以下功能:

(1)設(shè)置密碼鎖的密碼位數(shù)n;

(2)n 位密碼的輸入及顯示;

(3)密碼的核對(duì);

(4)報(bào)警功能;

(5)密碼的清除和修改。

主要由密碼輸入電路、核心控制電路和顯示輸出電路構(gòu)成。其中,輸入電路包括矩陣式鍵盤、鍵盤掃描電路、消抖電路、譯碼電路等;控制電路主要完成密碼的輸入、核對(duì)、清除和修改等功能;輸出電路控制數(shù)碼顯示屏上密碼值的顯示。

3 硬件電路設(shè)計(jì)

3.1 輸入電路

本設(shè)計(jì)采用的是4×3 矩陣式鍵盤,如圖1所示,它是由4 根I/O線作為行線,3根I/O線作為列線,在行列線的每一個(gè)交叉點(diǎn)上都設(shè)置一個(gè)按鍵,一共有12個(gè)按鍵,分別代表數(shù)字0~9、確認(rèn)鍵和設(shè)置鍵,如圖1所示。用戶如需設(shè)置密碼位數(shù),可以長(zhǎng)按設(shè)置鍵達(dá)到3 s,聽到提示音后再輸入密碼位數(shù)(本設(shè)計(jì)暫時(shí)只支持4,6,8位);如需設(shè)置新密碼,可以短按設(shè)置鍵,提示音后再輸入新的密碼即可。輸入電路應(yīng)具備矩陣鍵盤掃描功能、鍵盤消抖功能以及譯碼等功能。其中,鍵盤掃描采用是行掃描方式,4 根I/O 線KEYR3~KEYR0 為行掃描信號(hào),其中KEYR3對(duì)應(yīng)第一行,KEYR2對(duì)應(yīng)第二行,依此類推。

?

?

很顯然,掃描信號(hào)的變化順序?yàn)椋?111,1011,1101,1110,周而復(fù)始。在掃描的過程中,當(dāng)某鍵被按下時(shí),從KEYC2~KEYC0 中讀出的相應(yīng)列信號(hào)為“0”,再將此時(shí)的4位行信號(hào)和3位列信號(hào)值送至鍵盤譯碼電路進(jìn)行譯碼,即可得出準(zhǔn)確的按鍵值。相反,若從KEYC2~KEYC0 讀出的值全為“1”,則表示沒有鍵被按下,即不做任何操作。如表1所示。

?

?

在鍵盤掃描過程中,掃描信號(hào)在不斷變化,以判斷按鍵的按下和抬起??焖僮兓膾呙栊盘?hào)不僅使增加了系統(tǒng)功耗,而且還會(huì)對(duì)其他敏感電路造成干擾[6-7].因此必須進(jìn)行以下改進(jìn):將掃描模式改為鍵按下觸發(fā)掃描方式,即當(dāng)某鍵被按下后,才觸發(fā)鍵盤掃描電路產(chǎn)生掃描時(shí)序,鍵被放開后,停止對(duì)鍵盤的掃描,使電路處于相對(duì)靜止?fàn)顟B(tài),以減少干擾信號(hào)。

另外,在按鍵按下時(shí)刻與開始掃描時(shí)刻之間加入一段較小的延時(shí),延時(shí)結(jié)束后才允許電路開始掃描工作,可以最大限度地避免因鍵盤抖動(dòng)帶來的錯(cuò)誤輸入。

主要代碼描述如下:

?

數(shù)字濾波器相關(guān)文章:數(shù)字濾波器原理

上一頁(yè) 1 2 下一頁(yè)

關(guān)鍵詞: FPGA VHDL

評(píng)論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉