新聞中心

EEPW首頁 > 測試測量 > 設(shè)計應用 > 基于FPGA的2M誤碼測試儀系統(tǒng)的設(shè)計方案

基于FPGA的2M誤碼測試儀系統(tǒng)的設(shè)計方案

作者: 時間:2009-12-10 來源:網(wǎng)絡 收藏

  3.3 測試序列發(fā)送模塊的軟件設(shè)計

  測試序列采用m序列時,ITU建議用于數(shù)據(jù)傳輸設(shè)備測量誤碼的m序列的周期是511,其特征多項式(本原多項式)建議采用f(x)=x9+x5+1,本文應用移位寄存器理論從本原多項式出發(fā)來產(chǎn)生m序列,本原多項式是f(x)=x9+x5+1的m序列的VHDL語言編程如下:

語言編程

語言編程

  在Ahera的Quaaus II開發(fā)平臺下,本原多項式f(x)=x9+x5+1的m序列的仿真波形如圖7所示。

仿真波形



關(guān)鍵詞: FPGA Cyclone 2M誤碼測試儀

評論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉