新聞中心

EEPW首頁 > 嵌入式系統(tǒng) > 設(shè)計應(yīng)用 > 基于FPGA的LED點陣顯示字符設(shè)計

基于FPGA的LED點陣顯示字符設(shè)計

作者: 時間:2014-12-09 來源:網(wǎng)絡(luò) 收藏

  隨著社會的發(fā)展和信息時代對各類信息快速發(fā)布的需要, 許多政府部門和企事業(yè)單位從提高自身形象和信息規(guī)范化管理考慮, 廣泛采用 電子顯示屏顯示產(chǎn)品, 此類多媒體顯示系統(tǒng)通過一定的控制方式,用于顯示文字、圖形、圖像、動畫、股市行情等各種信息以及電視、錄像、DVD 等信號, 是交通指揮引導(dǎo)、部隊作戰(zhàn)、電力部門、公共場所進行企業(yè)形象宣傳、信息發(fā)布和精神文明建設(shè)的有效工具和良好窗口。

本文引用地址:http://www.butianyuan.cn/article/266577.htm

  采用現(xiàn)場可編程邏輯器件( ) 作為控制器, 選擇合適的器件, 利用器件豐富的I/O 口、內(nèi)部邏輯和連線資源, 采用自上而下的模塊化設(shè)計方法, 可以方便地設(shè)計整個顯示系統(tǒng)。

  電子設(shè)計自動化(EDA)技術(shù)是基于可編程器件( PLD) 的數(shù)字電子系統(tǒng)設(shè)計, 它是進行系統(tǒng)芯片集成的新設(shè)計方法, 也正在快速地取代基于PCB 板的傳統(tǒng)設(shè)計方式。在EDA工具軟件MAX+PLUSⅡ的支持下, 本設(shè)計通過了編譯、適配和軟件仿真驗證。最后用載入真實實驗系統(tǒng)的硬件實驗證明了它的正確性。

  1 系統(tǒng)結(jié)構(gòu)及其原理

  本設(shè)計結(jié)合上海航虹高科技公司生產(chǎn)的EDA實驗箱, 需要顯示的16 個字符的字模已存放在EPROM 的8000H~807FH, 采用單個8×8 點陣(WTD3088)顯示字符, 顯示控制由現(xiàn)場可編程門陣列( ) EPF10K20TC144- 4 來實現(xiàn)。系統(tǒng)原理是首先產(chǎn)生點陣字模地址, 并從存儲器讀出數(shù)據(jù)存放在16 位寄存器中, 然后輸出到 點陣的列, 同時對點陣列循環(huán)掃描以動態(tài)顯示數(shù)據(jù), 當(dāng)需要顯示數(shù)據(jù)字模的列和被選中的列能夠協(xié)調(diào)配合起來, 就可以正確顯示字符。

  2 FPGA設(shè)計及其原理

  為在8×8LED 發(fā)光二極管點陣上顯示字符, 首先要把字符表示成為8×8 像素點( AD0~AD7) , 分別為按列抽取依序排列的字符像素信息, 均具有8 位字長。然后依次對多字符抽取像素信息, 并按序排隊存放于EPROM 之中, 便可得到一個待顯示數(shù)據(jù)序列。進一步通過尋址的方法來控制該數(shù)據(jù)序列的釋放過程, 就可實現(xiàn)在8×8LED發(fā)光二極管點陣上滾動顯示多個字符信息的目的。page 控制字符顯示的滾動速度, 它的取值范圍應(yīng)為0~N, col 決定LED 點陣的列開關(guān)的掃描速度,它的取值范圍應(yīng)為0~7.某一時刻能在顯示數(shù)據(jù)序列中定位待顯示數(shù)據(jù)的地址指針可用下式計算: addr=page+col應(yīng)當(dāng)注意的是, 掃描速度應(yīng)遠(yuǎn)大于滾動速度, 本設(shè)計中使用的掃描時鐘是1KHz, 字符的滾動速度是1 秒/ 個。調(diào)節(jié)字符信息的滾動速度;改變col 的重復(fù)掃描周期, 可改善在LED 點陣上顯示完整字符信息的穩(wěn)定性。

  另外還需指出, 由于addr 的運算要靠硬件實現(xiàn), 故地址指針addr的模應(yīng)取為N, 而且為實現(xiàn)全部字符信息滾過LED 點陣, 必須在數(shù)據(jù)N前補充8 個空數(shù)據(jù)字節(jié)。根據(jù)其工作原理, 設(shè)計了描述FPGA內(nèi)核硬件功能的VHDL 語言程序。本設(shè)計結(jié)合了EDA實驗箱和公司的MAX+PLUSⅡ研發(fā)工具, 并采取了行為的描述方式。

  VHDL程序如下:

  libraryieee;

  use ieee.s td_logic_1164.all;

  use ieee.s td_logic_arith.all;

  use ieee.s td_logic_uns igned.all;

  entityledis

  port (clk1,clk2,reset: ins td_logic;

  led_s: out s td_logic_vector(2downto0);

  memcs,rd,wr,cs0809,selmled:out s td_logic;

  a: out s td_logic_vector(15downto0);

  ad: out s td_logic_vector(7downto0));

  end;

  architecture led_archofledis

  s ignalcol:integerrange 0to7;

  s ignalpage :integerrange 0to15;

  s ignaloe:s td_logic;

  begin

  proces s(clk2,reset,col)

  begin

  ifreset='1' then

  col<=0;

  els if(clk2'event andclk2='1')then

  col<=col+1;

  endif;

  led_s <= conv_s td_logic_vector(col,3);

  a(2downto0)<=conv_s td_logic_vector(col,3);

  endproces s;

  proces s(clk1,page)

  begin

  if(clk1'event andclk1='1')then

  page<=page+1;

  endif;

  a(6downto3)<=conv_s td_logic_vector(page,4);

  endproces s;

  ad<= "ZZZZZZZZ";oe <= '0';

  memcs <= '0';rd<= '0';

  wr<= '1';selmled<='1';cs0809<= '1';

  a(15downto7)<="100000000";

  endled_arch;

  3 實驗驗證

  通過使用EDA工具軟件MAX+PLUSⅡ中的仿真器, 對通過編譯的字符滾動顯示器的VHDL設(shè)計進行了模擬仿真驗證, 證明FPGA的內(nèi)核硬件工作良好。此外, 將VHDL 程序下載到由上海航虹高科技公司生產(chǎn)的EDA實驗箱上后, 能正確顯示存放于EPROM 中的字符。從而說明了在硬件系統(tǒng)中字符滾動和顯示掃描情況也均正常, 驗證了設(shè)計的正確性。

  4 結(jié)束語

  本設(shè)計為顯示單個字符系統(tǒng), 若要同時顯示多個字符時, 可以加入多個LED 點陣即可。同時采用外部譯碼器時, 可以控制的字符數(shù)將大大增加, 但須注意時鐘CLK的頻率需要提高, 以眼睛看到整個字符的不閃爍為基準(zhǔn)。此外, 由于FPGA的驅(qū)動能力有限, 當(dāng)點陣LED 顯示亮度不夠時, 需要為LED 點陣添加驅(qū)動電路( 如高壓大電流達林頓陣列集成電路) 以提高的LED顯示亮度。

fpga相關(guān)文章:fpga是什么


led顯示器相關(guān)文章:led顯示器原理




關(guān)鍵詞: FPGA LED ALTERA

評論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉