新聞中心

EEPW首頁(yè) > 電源與新能源 > 設(shè)計(jì)應(yīng)用 > 三相SPWM波形發(fā)生器的設(shè)計(jì)與仿真

三相SPWM波形發(fā)生器的設(shè)計(jì)與仿真

作者:黃世玲 梁承權(quán) 時(shí)間:2015-05-14 來(lái)源:電子產(chǎn)品世界 收藏
編者按:本文提出了一種采用VHDL硬件描述語(yǔ)言設(shè)計(jì)新型三相正弦脈寬調(diào)制(SPWM)波形發(fā)生器的方法。該方法以直接數(shù)字頻率合成技術(shù)(DDS)為核心產(chǎn)生三相SPWM信號(hào)。并且利用VHDL設(shè)計(jì)了死區(qū)時(shí)間可調(diào)的死區(qū)時(shí)間控制器,解決了傳統(tǒng)的模塊電路等待方法很難產(chǎn)生帶精確死區(qū)時(shí)間控制的SPWM信號(hào)的問(wèn)題。該方法在Quartus II 9.1環(huán)境平臺(tái)下進(jìn)行了仿真驗(yàn)證,并將設(shè)計(jì)程序下載到DE2-70實(shí)驗(yàn)板進(jìn)行實(shí)驗(yàn)測(cè)試,用示波器測(cè)試得到了死區(qū)時(shí)間可控制的SPWM波形。

摘要:本文提出了一種采用硬件描述語(yǔ)言設(shè)計(jì)新型三相正弦脈寬調(diào)制()波形發(fā)生器的方法。該方法以直接數(shù)字頻率合成技術(shù)()為核心產(chǎn)生三相信號(hào)。并且利用設(shè)計(jì)了可調(diào)的控制器,解決了傳統(tǒng)的模塊電路等待方法很難產(chǎn)生帶精確控制的信號(hào)的問(wèn)題。該方法在Quartus II 9.1環(huán)境平臺(tái)下進(jìn)行了仿真驗(yàn)證,并將設(shè)計(jì)程序下載到DE2-70實(shí)驗(yàn)板進(jìn)行實(shí)驗(yàn)測(cè)試,用示波器測(cè)試得到了死區(qū)時(shí)間可控制的SPWM波形。

本文引用地址:http://www.butianyuan.cn/article/273272.htm

引言

  三相正弦脈寬調(diào)制(SPWM)技術(shù)在三相逆變電源系統(tǒng)、交流電機(jī)調(diào)速系統(tǒng)等領(lǐng)域有著廣泛的應(yīng)用[1] ,并且發(fā)揮著核心的作用。SPWM發(fā)生器是應(yīng)用系統(tǒng)設(shè)計(jì)中的一個(gè)難點(diǎn),目前SPWM波形發(fā)生器的設(shè)計(jì)方法有以下幾種:(1)采用模擬電路的方法,用分立元件構(gòu)成三角波、正弦波信號(hào)發(fā)生器,再通過(guò)LM311等比較器進(jìn)行自然采樣后輸出SPWM波形。該方法對(duì)元件參數(shù)穩(wěn)定性和電路可靠性要求過(guò)高,且輸出信號(hào)的精度不高。(2)采用專(zhuān)門(mén)的SPWM波形發(fā)生器集成電路芯片,如SLE4520、SA4828等[2],但這些專(zhuān)用芯片的功能單一,使用不靈活。(3)隨著數(shù)字芯片的發(fā)展,復(fù)雜可編程邏輯器件(CPLD)、現(xiàn)場(chǎng)可編程門(mén)陣列()等器件得到廣泛的應(yīng)用。因此設(shè)計(jì)基于CPLD/器件的波形發(fā)生器是目前流行的方法[3] 。在SPWM信號(hào)發(fā)生器的設(shè)計(jì)中,產(chǎn)生死區(qū)時(shí)間可任意調(diào)節(jié)的SPWM信號(hào)一直是一個(gè)難點(diǎn)。本文利用語(yǔ)言設(shè)計(jì)了死區(qū)時(shí)間控制器,使得輸出的兩路SPWM信號(hào)的死區(qū)時(shí)間可以任意調(diào)節(jié)。本文在Quartus II 9.1平臺(tái)下,采用VHDL語(yǔ)言與技術(shù)相結(jié)合設(shè)計(jì)了一種帶死區(qū)時(shí)間控制器的三相SPWM波形發(fā)生器,并在DE2-70實(shí)驗(yàn)平臺(tái)下進(jìn)行了驗(yàn)證。

1 方案設(shè)計(jì)

  采用三角波為載波,對(duì)正弦波進(jìn)行自然采樣比較的方法輸出SPWM,其采樣的原理如圖1所示。

  本文設(shè)計(jì)思想為在/CPLD內(nèi)存中分別存儲(chǔ)了離散的三角波數(shù)據(jù)、正弦波數(shù)據(jù),再利用技術(shù)輸出三角波數(shù)據(jù)和正弦波數(shù)據(jù),設(shè)計(jì)一個(gè)數(shù)據(jù)比較器對(duì)以上兩種波形數(shù)據(jù)進(jìn)行實(shí)時(shí)比較,如果正弦波數(shù)據(jù)大于三角波數(shù)據(jù),SPWM信號(hào)輸出高電平,反之則輸出低電平。根據(jù)以上原理,利用DDS技術(shù)產(chǎn)生相位差為120o的三相正弦信號(hào)分別與三角波信號(hào)進(jìn)行比較,就能輸出三相SPWM波形。

  系統(tǒng)的總體框圖如圖2所示。信號(hào)a、b、c為三相正弦波數(shù)據(jù),分別與信號(hào)d三角波數(shù)據(jù)進(jìn)行比較后輸出sa、sb、sc為三相SPWM波,再經(jīng)過(guò)死區(qū)時(shí)間控制器后,最終得到6路SPWM波形輸出。其中sa與nsa波形為反向,sb與nsb波形為反向,sc與nsc波形為反向。

2 三相正弦波發(fā)生器模塊

  三相正弦波發(fā)生模塊如圖3所示,該模塊根據(jù)傳統(tǒng)的DDS模塊框圖進(jìn)行優(yōu)化與改進(jìn)。其原理是由時(shí)鐘信號(hào)fclk控制累加器模塊按順序生成ROM的地址,將存儲(chǔ)在ROM中的正弦波形數(shù)據(jù)逐個(gè)輸出。

  本設(shè)計(jì)中地址累加器模塊地址寬度N為二進(jìn)制16位,該累加器主要完成頻率控制字的累加功能,從而得到ROM的尋址地址;正弦波ROM的數(shù)字位寬為8位,存儲(chǔ)深度為256;相位控制器設(shè)置模塊主要完成設(shè)置三個(gè)正弦波a、b、c的初相位差為120o的功能。由于正弦波ROM的地址線寬度為8位,而地址累加器地址寬度為16位,所以本文取地址累加器的高8位與正弦波ROM的地址線相連接。

比較器相關(guān)文章:比較器工作原理


負(fù)離子發(fā)生器相關(guān)文章:負(fù)離子發(fā)生器原理
脈寬調(diào)制相關(guān)文章:脈寬調(diào)制原理
離子色譜儀相關(guān)文章:離子色譜儀原理

上一頁(yè) 1 2 下一頁(yè)

評(píng)論


相關(guān)推薦

技術(shù)專(zhuān)區(qū)

關(guān)閉