首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
EEPW首頁 >> 主題列表 >> 直接數(shù)字頻率合成器(dds)

基于單片機(jī)與FPGA的直接頻率數(shù)字合成器的設(shè)計(jì)方案

  • 基于單片機(jī)與FPGA的直接頻率數(shù)字合成器的設(shè)計(jì)方案-本文提出了一種基于單片機(jī)的直接頻率數(shù)字合成器的設(shè)計(jì)方案。方案采用單片機(jī)控制FPGA產(chǎn)生DDS信號輸出頻率范圍為20Hz~20KHz,幅度為0.3V~5V,兩路正交信號能夠?qū)崿F(xiàn)0o~359o的相位差,并通過MAX+plusII軟件進(jìn)行仿真驗(yàn)證。仿真結(jié)果表明,本方案可達(dá)到預(yù)定要求,具有較強(qiáng)的實(shí)用性。
  • 關(guān)鍵字: 頻率合成器  DDS  

制作一個基于STC15W4K48S4設(shè)計(jì)手機(jī)控制AD9850信號發(fā)生器的設(shè)計(jì)方案

  • 制作一個基于STC15W4K48S4設(shè)計(jì)手機(jī)控制AD9850信號發(fā)生器的設(shè)計(jì)方案-任何發(fā)射機(jī)或接收機(jī)都需要一個源。這個源可以是RC振蕩器、LC振蕩器、晶體振蕩器、鎖相環(huán)頻率合成器或DDS直接數(shù)字頻率合成器。而DDS直接數(shù)字頻率合成器是近10幾年的新興方案??v觀各種DDS芯片,數(shù)美國ADI公司的AD9850性價比最高。市面上滿天飛的一款DDS信號發(fā)生器就是采用此款芯片。出于成本和占有量的考慮,決定率先開發(fā)配套此款DDS信號發(fā)生器的控制板。本控制板硬件使用最新版STC的51系列單片機(jī)STC15W4K48S4。
  • 關(guān)鍵字: dds  ad9850  振蕩器  

基于單片機(jī)和CPLD的DDS正交信號源

  • 基于單片機(jī)和CPLD的DDS正交信號源,其頻率幅度可精密控制,擴(kuò)展輸出頻率達(dá)300 kHz,增加掃頻輸出功能。采用紅外鍵盤控制頻率和幅度,采用液晶同步顯示信號的頻率和幅度;輸出端產(chǎn)生正弦波、方波、三角波、鋸齒波,梯形波、短形波、頻率突變的方波、尖脈沖數(shù)字信號等,且具有掃頻輸出的功能。測試結(jié)果表明,系統(tǒng)穩(wěn)定可靠,人機(jī)交互界面友好,操作簡單方便。
  • 關(guān)鍵字: DDS  正交信號源  CPLD  濾波器  DT9205  AT28C64  

基于DSP+FPGA技術(shù)的高精度程控交流電源的實(shí)現(xiàn)

  • 介紹了一種基于DSP+FPGA芯片技術(shù)的高精度程控交流電源的實(shí)現(xiàn)方法,利用FPGA實(shí)現(xiàn)了任意波形發(fā)生功能,并對功率逆變等電路進(jìn)行了詳細(xì)的分析和設(shè)計(jì),最后給出了實(shí)驗(yàn)結(jié)果以及相關(guān)波形。
  • 關(guān)鍵字: 任意波形發(fā)生  諧波  DDS  

基于FPGA的流水線結(jié)構(gòu)DDS多功能信號發(fā)生器的設(shè)計(jì)與實(shí)現(xiàn)

  • 在應(yīng)用FPGA進(jìn)行DDS系統(tǒng)設(shè)計(jì)過程中,選擇芯片的運(yùn)行速度優(yōu)化和資源利用優(yōu)化常常是相互矛盾的,從發(fā)展趨勢和運(yùn)算要求看,系統(tǒng)速度指標(biāo)的意義比面積指標(biāo)更趨重要。基于此,介紹了一種流水線結(jié)構(gòu)來優(yōu)化傳統(tǒng)的相位累加器,在QuartusⅡ開發(fā)環(huán)境下搭建系統(tǒng)模型、仿真及下載,并采用嵌入式邏輯分析儀分析和驗(yàn)證了實(shí)驗(yàn)結(jié)果。該系統(tǒng)可以完成多位頻率控制字的累加,能夠產(chǎn)生正弦波、方波和三角波,具有良好的實(shí)時性。
  • 關(guān)鍵字: 流水線相位累加器  DDS  FPGA  

基于FPGA和DDS技術(shù)的軟件無線電可控數(shù)字調(diào)制器的設(shè)計(jì)

  • 本系統(tǒng)在分析數(shù)字調(diào)制技術(shù)和DDS原理的基礎(chǔ)上,詳述了一種基于FPGA的DSP技術(shù)和DDS技術(shù)的適合于軟件無線電使用的可控數(shù)字調(diào)制器的設(shè)計(jì)過程,并在系統(tǒng)中進(jìn)行了功能驗(yàn)證。此調(diào)制器以FPGA硬件平臺為核心,可實(shí)現(xiàn)ASK,F(xiàn)SK,PSK,QAM等調(diào)制方式,靈活性強(qiáng)。
  • 關(guān)鍵字: 數(shù)字調(diào)制技術(shù)  軟件無線電  DDS  

基于FPGA的雙路可移相任意波形發(fā)生器

  • 本文論述了利用用FPGA來開發(fā)DDS函數(shù)發(fā)生器的總體設(shè)計(jì)思路,詳細(xì)討論了任意波形產(chǎn)生、頻率精確調(diào)整、雙路移相輸出、PWM調(diào)制波產(chǎn)生、D/A轉(zhuǎn)換與濾波電路、鍵盤與顯示等諸方面軟硬件實(shí)現(xiàn)方法。 整個設(shè)計(jì)
  • 關(guān)鍵字: DDS  任意波形發(fā)生器  FPGA  

基于ARM的DDS信號發(fā)生器人機(jī)交互系統(tǒng)設(shè)計(jì)

  • 本文以Hynix公司生產(chǎn)的HMS30C7202工業(yè)級處理器作為控制器,以矩陣鍵盤作為輸入設(shè)備,以AMPIRE公司生產(chǎn)的AM-320240LTNQW-00H TFT LCD顯示屏作為顯示輸出設(shè)備,研究設(shè)計(jì)了相應(yīng)的硬件電路與顯示驅(qū)動程序,在此基礎(chǔ)上完成了人機(jī)交互中英文顯示系統(tǒng)的設(shè)計(jì)。
  • 關(guān)鍵字: 信號源  DDS  LCD顯示屏  

如何預(yù)測直接數(shù)字頻率合成器(DDS)輸出頻譜中主相位截斷雜散的頻率和幅度

  • 現(xiàn)代直接數(shù)字頻率合成器(DDS)通常利用累加器和數(shù)字頻率調(diào)諧字(FTW)在累加器輸出端產(chǎn)生周期性的N位數(shù)字斜坡(見圖1)。 此數(shù)字斜坡可依據(jù)公式1定義DDS的輸出頻率(fO),其中fS為DDS采樣速率(或系統(tǒng)時鐘頻率)。
  • 關(guān)鍵字: 直接數(shù)字頻率合成器  DDS  FTW  

幅頻特性的測試愁白頭?DDS技術(shù)解你煩憂

  • 最初,對于DUT的幅頻特性的測試是在固定頻率點(diǎn)上逐點(diǎn)進(jìn)行。這種測試方法繁瑣、費(fèi)時,且不直觀,有時還會得出片面的結(jié)果。例如,測量點(diǎn)之間的諧振現(xiàn)象和網(wǎng)絡(luò)特性的突變點(diǎn)常常被漏掉。
  • 關(guān)鍵字: DDS  幅頻特性  

遙測信號模擬源的設(shè)計(jì)及實(shí)現(xiàn)

  • 遙測信號模擬源是多通道信號發(fā)生器,模擬彈載組件,輸出模擬及數(shù)字信號供遙測艙采集,以判斷遙測艙是否正常。本設(shè)計(jì)基于DDS及數(shù)字可編程技術(shù),采用DAC芯片AD5312、運(yùn)放,RS422、429、LVDS等接口芯片,編寫FPGA模塊,最終實(shí)現(xiàn)多達(dá)100路模擬電壓及40路數(shù)字信號輸出,并可在計(jì)算機(jī)上通過網(wǎng)絡(luò)進(jìn)行參數(shù)配置。該信號源輸出信號種類多,參數(shù)配置靈活方便,可滿足多個遙測組件的測試需求。
  • 關(guān)鍵字: 遙測  信號源  DDS  AD5312  測試  201706  

DDS-11A型實(shí)驗(yàn)室電導(dǎo)率儀使用方法

  • 電導(dǎo)率儀是實(shí)驗(yàn)室電導(dǎo)率測量儀表,它能測定一般液體和高純水的電導(dǎo)率電導(dǎo)率儀是食品廠、飲用水廠辦理QS、HACCP認(rèn)證的必備檢驗(yàn)設(shè)備
  • 關(guān)鍵字: DDS-11A型實(shí)驗(yàn)室電導(dǎo)率  

dds正弦波信號發(fā)生器

  • 在數(shù)字信號處理器飛速發(fā)展的今天,微處理器的應(yīng)用已主領(lǐng)著電子技術(shù)領(lǐng)域的潮流,先進(jìn)的數(shù)字信號處理技術(shù),能實(shí)現(xiàn)各種復(fù)雜的功能。對正弦波信號發(fā)生器而言,數(shù)字DDS技術(shù)的誕生,使波形發(fā)生器技術(shù)有了進(jìn)一步的飛躍。就數(shù)字DDS波形...
  • 關(guān)鍵字: dds  正弦波  信號發(fā)生器  

基于FPGA和DDS的數(shù)字調(diào)制信號發(fā)生器設(shè)計(jì)與實(shí)現(xiàn)

  • 為了提高數(shù)字調(diào)制信號發(fā)生器的頻率準(zhǔn)確度和穩(wěn)定度,并使其相關(guān)技術(shù)參數(shù)靈活可調(diào),提出了基于FPGA和DDS技術(shù)的數(shù)字調(diào)制信號發(fā)生器設(shè)計(jì)方法。利用Matlab/Simulink、DSP Builder、QuartusⅡ3個工具軟件,進(jìn)行基本DDS建模,然后在DDS模塊的基礎(chǔ)上,通過單片機(jī)等電路組成的控制單元的邏輯控制作用,根據(jù)通信系統(tǒng)中數(shù)字調(diào)制方式的基本原理,設(shè)計(jì)并實(shí)現(xiàn)了數(shù)字調(diào)制信號發(fā)生器,從而實(shí)現(xiàn)二進(jìn)制頻移鍵控(2FSK)、二進(jìn)制相移鍵控(2PSK)和二進(jìn)制幅移鍵控(2ASK)3種基本的二進(jìn)制數(shù)字調(diào)制。所得
  • 關(guān)鍵字: 數(shù)字調(diào)制信號  直接數(shù)字頻率合成器  FPGA  DSP Builder  

CPLD DDS正交信號源濾波器

  • 桂林電子科技大學(xué) 韓劍 李德明 馮雪1 引言由于傳統(tǒng)的多波形函數(shù)信號發(fā)生器需采用大量分離元件才能實(shí)現(xiàn),且設(shè)計(jì)復(fù)雜,這里提出一種基于CPLD的多波形函數(shù)信號發(fā)生器。它采用CPLD作為函數(shù)信號發(fā)生器的處理器,以單片機(jī)和
  • 關(guān)鍵字: CPLD  DDS  單片機(jī)  
共263條 2/18 « 1 2 3 4 5 6 7 8 9 10 » ›|

直接數(shù)字頻率合成器(dds)介紹

您好,目前還沒有人創(chuàng)建詞條直接數(shù)字頻率合成器(dds)!
歡迎您創(chuàng)建該詞條,闡述對直接數(shù)字頻率合成器(dds)的理解,并與今后在此搜索直接數(shù)字頻率合成器(dds)的朋友們分享。    創(chuàng)建詞條

熱門主題

樹莓派    linux   
關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473