首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請
EEPW首頁 >> 主題列表 >> i2s

走對這幾步 讓 I2S 收發(fā)器跑起來!

  • 文章 概述本文介紹了I2S收發(fā)器的配置與實(shí)現(xiàn),用于FPGA的VHDL編程。I2S是數(shù)字音頻的標(biāo)準(zhǔn)化串行通信總線,由SCK、WS和SD三條線路組成。文章闡述了I2S收發(fā)器的工作原理,包括數(shù)據(jù)傳輸方式、操作理論、配置方法、端口描述以及音頻數(shù)據(jù)事務(wù)傳輸。本文詳細(xì)介紹了一個(gè)主 I2S 收發(fā)器組件用于 FPGA,以 VHDL 編寫。 組件通過 I2S 接口接收音頻數(shù)據(jù),并將接收到的數(shù)據(jù)在某一采樣率上傳送給并行接口上的用戶邏輯芯片。 它還在某一采樣率上從用戶邏輯芯片上接收并行數(shù)據(jù),并通過
  • 關(guān)鍵字: ADI  I2S  

基于 Richtek RT9119 的音效放大器之 家庭娛樂音效產(chǎn)品方案

  • 隨著電子產(chǎn)品的不斷發(fā)展,功率放大器的性能對產(chǎn)品質(zhì)量有著重要的影響。傳統(tǒng)的線性功放(A、B、AB類)雖然有良好的線性度和THD等性能,但都有共同的缺陷,如效率都低于50%、功耗大,制約其在可攜式產(chǎn)品上的應(yīng)用[1],而高效率、節(jié)能、低失真、體積小的D類功放應(yīng)用日益廣泛D類放大利用的原理為PWM(Pulse Width Modulation),作用方式類似于主機(jī)板上交換式電源概念,即利用數(shù)位頻率波型的疏密來輸出類比振幅的高低大小,頻率密則振幅高,反之頻率疏時(shí)則振幅降低。也因此運(yùn)作模式,D類放大意被稱為數(shù)位式功率
  • 關(guān)鍵字: Richtek  Audio  Amplifier  放大器  Speaker  揚(yáng)聲器  I2S  TV  Sound Bar  

信號鏈基礎(chǔ)知識#54 誰是音頻時(shí)鐘的“老板”,誰是主,誰又是從呢?

  • 如果轉(zhuǎn)換器為一個(gè) I2S 從器件,則您必須通過相同源(如果轉(zhuǎn)換器帶有,則可以依靠內(nèi)部 PLL),提供所有三個(gè) I2S 時(shí)鐘(MCK、BCK 和 LRCK)。
  • 關(guān)鍵字: I2S  DSP  DAC  TI  MCK  SCK  PLL  BCK  LRCK  壓控振蕩器  VCO  音頻  

I2S總線原理及其實(shí)例

  •   I2S(Inter-IC Sound Bus)是飛利浦公司為數(shù)字音頻設(shè)備之間的音頻數(shù)據(jù)傳輸而制定的一種總線標(biāo)準(zhǔn)。在飛利浦公司的I2S標(biāo)準(zhǔn)中,既規(guī)定了硬件接口規(guī)范,也規(guī)定了數(shù)字音頻數(shù)據(jù)的格式。   I2S有3個(gè)主要信號:1.串行時(shí)鐘SCLK,也叫位時(shí)鐘(BCLK),即對應(yīng)數(shù)字音頻的每一位數(shù)據(jù),SCLK都有1個(gè)脈沖。SCLK的頻率=2×采樣頻率×采樣位數(shù) 2. 幀時(shí)鐘LRCK,用于切換左右聲道的數(shù)據(jù)。LRCK為“1”表示正在傳輸?shù)氖亲舐暤赖臄?shù)據(jù),為&ldq
  • 關(guān)鍵字: I2S  

基于FPGA實(shí)現(xiàn)的PCI-I2S接口轉(zhuǎn)換電路

  • 摘要 提出了一種基于FPGA實(shí)現(xiàn)的PCI-I2S音頻系統(tǒng)方法。通過在FPGA中將PCI軟核、FIFO以及設(shè)計(jì)的接口電路等相結(jié)合,在FPGA上實(shí)現(xiàn)了 PCI、I2C、I2S等多種總線,
  • 關(guān)鍵字: PCI總線  FPGA  I2S  音頻  

I2S總線概述

  • I2S總線概述,音響數(shù)據(jù)的采集、處理和傳輸是多媒體技術(shù)的重要組成部分。眾多的數(shù)字音頻系統(tǒng)已經(jīng)進(jìn)入消費(fèi)市場,例如數(shù)字音頻錄音帶、數(shù)字聲音處理器。對于設(shè)備和生產(chǎn)廠家來說,標(biāo)準(zhǔn)化的信息傳輸結(jié)構(gòu)可以提高系統(tǒng)的適應(yīng)性。I2S(Inte
  • 關(guān)鍵字: 概述  總線  I2S  

信號鏈基礎(chǔ)知識#54誰是音頻時(shí)鐘的“老板”,誰是主,誰是從呢

嵌入式系統(tǒng)中I2S總線數(shù)據(jù)通信的軟件模擬

  • 嵌入式系統(tǒng)中I2S總線數(shù)據(jù)通信的軟件模擬, 引言  I2S(InterIC Sound Bus)是飛利浦公司針對數(shù)字音頻設(shè)備之間的音頻數(shù)據(jù)傳輸而制定的一種總線標(biāo)準(zhǔn),采用沿獨(dú)立的導(dǎo)線傳輸時(shí)鐘與數(shù)據(jù)信號的設(shè)計(jì),通過分離數(shù)據(jù)和時(shí)鐘信號,避免了時(shí)差誘發(fā)的失真。I2S總線簡單
  • 關(guān)鍵字: 軟件  模擬  數(shù)據(jù)通信  總線  系統(tǒng)  I2S  嵌入式  

采用LVDS串行器/解串器傳送車載I2S音頻流

  • 本文說明如何采用MAX9205 10位LVDS串行器和MAX9206 10位LVDS解串器,通過單根屏蔽雙絞線(STP),在兩個(gè)音頻元件之間傳送I2S音頻數(shù)據(jù)流。
  • 關(guān)鍵字: 車載  I2S  音頻  傳送  解串器  LVDS  串行  采用  音頻  

基于FPGA和AD1836的I2S接口設(shè)計(jì)

  • 本文以FPGA為控制單元,完成了AD1836中D/A部分的I2S接口設(shè)計(jì),它在數(shù)字音頻系統(tǒng)的設(shè)計(jì)中有一定的參考和實(shí)用價(jià)值。該設(shè)計(jì)已經(jīng)成功運(yùn)用在某話路特性綜合測試系統(tǒng)中,性能良好。
  • 關(guān)鍵字: FPGA  1836  I2S  AD    

基于I2S的USB 聲卡系統(tǒng)設(shè)計(jì)

  • 摘  要:本文介紹了基于S3C2410處理器平臺,利用I2S總線的USB聲卡系統(tǒng)設(shè)計(jì)。詳細(xì)闡述了USB聲卡通訊的實(shí)現(xiàn),并且根據(jù)I2S總線DMA傳輸?shù)奶攸c(diǎn)實(shí)現(xiàn)了環(huán)形緩沖區(qū),以提高系統(tǒng)性能,滿足音頻實(shí)時(shí)性的要求。關(guān)鍵詞: USB聲卡;S3C2410;I2S 引言近年來USB產(chǎn)品層出不窮,USB音頻類在USB開發(fā)者論壇的努力下,成為一種標(biāo)準(zhǔn)的規(guī)范,USB聲卡也開始在市場上悄然出現(xiàn)。因?yàn)閁SB聲卡內(nèi)置了DAC和有源功放,音頻數(shù)據(jù)以數(shù)字方式進(jìn)入U(xiǎn)SB聲卡,完全杜絕了PC的內(nèi)部干擾,所以,USB聲卡將有可
  • 關(guān)鍵字: I2S  S3C2410  USB聲卡  消費(fèi)電子  消費(fèi)電子  

基于I2S的USB 聲卡系統(tǒng)設(shè)計(jì)

  • 引言 近年來USB產(chǎn)品層出不窮,USB音頻類在USB開發(fā)者論壇的努力下,成為一種標(biāo)準(zhǔn)的規(guī)范,USB聲卡也開始在市場上悄然出現(xiàn)。因?yàn)閁SB聲卡內(nèi)置了DAC和有源功放,音頻數(shù)據(jù)以數(shù)字方式進(jìn)入U(xiǎn)SB聲卡,完全杜絕了PC的內(nèi)部干擾,所以,USB聲卡將有可能成為現(xiàn)有內(nèi)置聲卡的替代品。本文介紹了一種基于ARM處理器的USB聲卡設(shè)計(jì)。 USB聲卡原理 由USB聲卡數(shù)據(jù)流圖(見圖1)可以看出USB聲卡的工作原理。在主機(jī)端播放音樂時(shí),應(yīng)用軟件或驅(qū)動(dòng)程序把各類音頻信號轉(zhuǎn)換為統(tǒng)一的格式,如PCM、MPE
  • 關(guān)鍵字: I2S  USB  聲卡  消費(fèi)電子  消費(fèi)電子  
共12條 1/1 1

i2s介紹

I2S總線概述   音響數(shù)據(jù)的采集、處理和傳輸是多媒體技術(shù)的重要組成部分。眾多的數(shù)字音頻系統(tǒng)已經(jīng)進(jìn)入消費(fèi)市場,例如數(shù)字音頻錄音帶、數(shù)字聲音處理器。對于設(shè)備和生產(chǎn)廠家來說,標(biāo)準(zhǔn)化的信息傳輸結(jié)構(gòu)可以提高系統(tǒng)的適應(yīng)性。I2S(Inter—IC Sound)總線是飛利浦公司為數(shù)字音頻設(shè)備之間的音頻數(shù)據(jù)傳輸而制定的一種總線標(biāo)準(zhǔn),該總線專責(zé)于音頻設(shè)備之間的數(shù)據(jù)傳輸,廣泛應(yīng)用于各種多媒體系統(tǒng)。它采用了沿獨(dú)立的 [ 查看詳細(xì) ]

熱門主題

I2S    樹莓派    linux   
關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473