首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
EEPW首頁 >> 主題列表 >> mtm

基于VHDL的MTM總線主模塊有限狀態(tài)機(jī)設(shè)計(jì)

  • 摘要:為了能夠更簡潔嚴(yán)謹(jǐn)?shù)孛枋鯩TM總線的主模塊有限狀態(tài)機(jī)的狀態(tài)轉(zhuǎn)換,同時(shí)減少FPGA芯片功耗,提高系統(tǒng)穩(wěn)定性,文中在分析MTM總線結(jié)構(gòu)和主模塊有限狀態(tài)機(jī)模型的基礎(chǔ)上,基于VHDL語言采用“單進(jìn)程”式對(duì)該
  • 關(guān)鍵字: 有限  狀態(tài)  設(shè)計(jì)  模塊  總線  VHDL  MTM  基于  
共1條 1/1 1

mtm介紹

您好,目前還沒有人創(chuàng)建詞條mtm!
歡迎您創(chuàng)建該詞條,闡述對(duì)mtm的理解,并與今后在此搜索mtm的朋友們分享。    創(chuàng)建詞條

相關(guān)主題

熱門主題

PDP-SPMTM    樹莓派    linux   
關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473