首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
EEPW首頁 >> 主題列表 >> viterbi譯碼

802.11b中卷積碼和Viterbi譯碼的FPGA設(shè)計實現(xiàn)

  • 卷積碼是一種重要的信道糾錯編碼方式,其糾錯性能通常優(yōu)于分組碼,目前(2,1,6)卷積碼已廣泛應(yīng)用于無線通信系統(tǒng)中,Viterbi譯碼算法能最大限度地發(fā)揮卷積碼的糾錯性能。闡述了802.11b中卷積碼的編碼及其Viterbi譯碼方法,給出了編譯碼器的設(shè)計方法,并利用Verilog HDL硬件描述語言完成編譯碼器的FPGA實現(xiàn)。使用邏輯分析儀,在EP2C5T144C8芯片上完成了編譯碼器的硬件調(diào)試。
  • 關(guān)鍵字: 卷積碼  Viterbi譯碼  邏輯分析儀  

高效數(shù)字調(diào)制技術(shù)及其DSP實現(xiàn)

共2條 1/1 1

viterbi譯碼介紹

  接收到的符號首先經(jīng)過解調(diào)器判決,輸出0、1 碼,然后再送往譯碼器的形式,稱為硬   判決譯碼。即編碼信道的輸出是0、1 的硬判決信息。   我們選擇似然概率( m P RC)的對數(shù)作為似然函數(shù)。容易看出,硬判決的最大似然譯碼   實際上是尋找與接收序列Hamming距離最小的編碼序列。對于網(wǎng)格圖描述Viterbi 算法,整個   Viterbi 譯碼算法可以簡單概括為“相加-比較-保留 [ 查看詳細 ]

熱門主題

樹莓派    linux   
關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473