首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
EEPW首頁 >> 主題列表 >> avant fpga

基于FPGA的高速實時/回放分級復接器設計

  • 摘要:利用國際空間數(shù)據(jù)系統(tǒng)咨詢委員會 (CCSDS)高級在軌系統(tǒng)(AOS)建議,提出了兩級復用的方案,設計了一種具有載荷數(shù)據(jù)存儲功能的高速實時/回放分級復接器。該方案采用FPGA技術(shù),對星上載荷輸出的數(shù)據(jù)使用了兩級全異步
  • 關鍵字: FPGA  回放  分級  復接器    

基于FPGA的高精度時間數(shù)字轉(zhuǎn)換電路設計

  • 摘要:本文介紹一種基于 FPGA高精度時間數(shù)字轉(zhuǎn)換電路的設計方法,利用片內(nèi)鎖相環(huán)(PLL)和環(huán)形移位寄存器,采用不高的系統(tǒng)時鐘便可得到很高的時間分辨率,且占用較少邏輯資源。可作為功能電路獨立使用,也可作為 IP核
  • 關鍵字: FPGA  高精度  電路設計  數(shù)字轉(zhuǎn)換    

FPGA提升智能手機設計差異化

  • 電子產(chǎn)品世界,為電子工程師提供全面的電子產(chǎn)品信息和行業(yè)解決方案,是電子工程師的技術(shù)中心和交流中心,是電子產(chǎn)品的市場中心,EEPW 20年的品牌歷史,是電子工程師的網(wǎng)絡家園
  • 關鍵字: FPGA  智能手機  差異化  Lattice  I2C  

DARPA授權(quán)RF-FPGA項目合同 開發(fā)可編程射頻前端技術(shù)

  • ?  美國國防預先研究計劃局射頻和微波技術(shù)專家正在與6家國防企業(yè)和大學合作,開發(fā)可編程射頻前端元件,以減少軍事通信、電子戰(zhàn)和信號情報系統(tǒng)(SIGINT)的成本和開發(fā)時間。   迄今為止,DARPA授權(quán)了6份關于“現(xiàn)場可編程門陣列射頻技術(shù)(RF-FPGA)”項目的合同。該項目旨在通過收發(fā)器鏈編程,跨不同應用程序重復使用同一組射頻前端元件。從根本上講, RF-FPGA項目試圖將現(xiàn)場可編程門陣列(FPGA)在數(shù)字計算方面的成功應用經(jīng)驗轉(zhuǎn)移到射頻和微波技術(shù),從而在廣泛應用中減少
  • 關鍵字: DARPA  FPGA  

電子工程師經(jīng)驗:FPGA設計風格須知

  • 在進行FPGA設計時,有很多需要我們注意的地方。具有好的設計風格才能做出好的設計產(chǎn)品,這一點是毋庸置疑的。那么,接下來,小編就帶大家一起來看看,再進行FPGA設計時,我們都要注意哪些呢?  一.命名風格:  1不
  • 關鍵字: FPGA  電子工程師  經(jīng)驗    

集成式比特誤碼率測試儀在FPGA中的應用

  • 隨著高速數(shù)字系統(tǒng)的發(fā)展,高速串行數(shù)據(jù)被廣泛使用,內(nèi)嵌高速串行接口的FPGA也得到大量應用,相應的高速串行信號質(zhì)量的測試也越來越頻繁和重要。通常用示波器觀察信號波形、眼圖、抖動來衡量信號的質(zhì)量,Xilinx提供的
  • 關鍵字: FPGA  集成式  比特  誤碼率測試儀    

基于FPGA 的偽隨機序列的生成方法及應用

  • 摘要:通過分析各種偽隨機序列生成方法,提出了一種基于M 序列的連續(xù)抽樣方法,可以生 成滿足自適應光學系統(tǒng)SPGD 控制算法要求的多路、相互獨立以及服從伯努利分布的偽隨機序 列。該方法適合于用FPGA 等超大規(guī)模集成
  • 關鍵字: FPGA  偽隨機序列  方法    

基于FPGA的TCP/IP通信協(xié)議與Matlab通信系統(tǒng)的研究

  • O 引言  近年來,隨著信息技術(shù)的發(fā)展,網(wǎng)絡化日加普遍,以太網(wǎng)被廣泛應用到各個領域。例如在數(shù)據(jù)采集領域,一些小型監(jiān)測設備需要增加網(wǎng)絡實現(xiàn)遠程數(shù)據(jù)傳輸?shù)墓δ?,只要那些設備上增加一個網(wǎng)絡接口并實現(xiàn)了TCP/IP協(xié)
  • 關鍵字: 通信  Matlab  系統(tǒng)  研究  協(xié)議  基于  TCP  IP  FPGA  

基于FPGA的DDS基本信號發(fā)生器的設計

  • 摘要:本設計基于DDS原理和FPGA技術(shù)按照順序存儲方式,將對正弦波、方波、三角波、鋸齒波四種波形的取樣數(shù)據(jù)依次全部存儲在ROM波形表里,通過外接設備撥扭開關和鍵盤控制所需波形信號的輸出,最終將波形信息顯示在LC
  • 關鍵字: FPGA  DDS  信號發(fā)生器    

便攜式測試設備的FPGA設計

  • 過去,TMOEM設計的儀器都是根據(jù)某種標準完成測試過程。這樣,當一個新的標準或修訂后的標準發(fā)布出來時,他...
  • 關鍵字: 便攜式  測試設備  FPGA  

如何有效的管理FPGA設計中的時序問題

  • 如何有效的管理FPGA設計中的時序問題, 當
        二、導言  FPGA的設計與高速接口技術(shù)可以幫助你滿足今天的市場要求,但也提出了一些有趣的設計挑戰(zhàn)。為了確保存儲器接口的數(shù)據(jù)傳輸準確,在超過200兆赫茲以上,進行時序分析將發(fā)揮更突出的作用,以
  • 關鍵字: 時序  問題  設計  FPGA  有效  管理  如何  

FPGA在廣播視頻中的應用

  • FPGA在廣播視頻中的應用, 1.時機

    在世界范圍內(nèi),廣播視頻系統(tǒng)的需求都在逐年顯著增加,原因是以下的一些因素同時發(fā)生了作用:

    可供觀眾選擇的廣播頻道的增加。世界范圍內(nèi),更多觀眾的選擇從很少的幾個頻道發(fā)展到幾百個頻道。
  • 關鍵字: 應用  視頻  廣播  FPGA  

簡化UART功能的FPGA實現(xiàn)

  • 1 引 言在ARM+FPGA系統(tǒng)結(jié)構(gòu)中,實現(xiàn)基于ARM的嵌入式處理器和FPGA之間通信最簡單的方法就是通過異步串行接口EIARS232C??紤]選用集成有UART(Universal Asynchronous Receiver / TraNSmitter )控制器的嵌入式處理器
  • 關鍵字: UART  FPGA    

基于FPGA核心的數(shù)字化儀模塊設計

  • PXI總線是NI公司在計算機外設總線PCI的基礎上實現(xiàn)的新一代儀器總線,已經(jīng)成為業(yè)界開放式總線的標準,基于PXI總線的數(shù)字化儀模塊是現(xiàn)代測 試系統(tǒng)中重要的一種數(shù)據(jù)記錄與處理設備。設計一個雙通道12 bit/250 MHz采樣頻
  • 關鍵字: FPGA  核心  數(shù)字化儀  模塊設計    

基于FPGA的星載計算機自檢EDAC電路設計

  • 摘要:為了消除空間環(huán)境中單粒子翻轉(zhuǎn)(SEU)的影響,目前星載計算機中均對RAM存儲單元采用檢錯糾錯(EDAC)設計。隨著FPGA在航天領域的廣泛應用,FPGA已成為EDAC功能實現(xiàn)的最佳硬件手段。本文介紹了EDAC的編碼和實現(xiàn),提出一
  • 關鍵字: FPGA  EDAC  星載  計算機    
共6369條 208/425 |‹ « 206 207 208 209 210 211 212 213 214 215 » ›|

avant fpga介紹

您好,目前還沒有人創(chuàng)建詞條avant fpga!
歡迎您創(chuàng)建該詞條,闡述對avant fpga的理解,并與今后在此搜索avant fpga的朋友們分享。    創(chuàng)建詞條

熱門主題

樹莓派    linux   
關于我們 - 廣告服務 - 企業(yè)會員服務 - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473