首頁(yè)  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會(huì)展  EETV  百科   問(wèn)答  電路圖  工程師手冊(cè)   Datasheet  100例   活動(dòng)中心  E周刊閱讀   樣片申請(qǐng)
EEPW首頁(yè) >> 主題列表 >> dds-11a型實(shí)驗(yàn)室電導(dǎo)率

dds-11a型實(shí)驗(yàn)室電導(dǎo)率 文章 進(jìn)入dds-11a型實(shí)驗(yàn)室電導(dǎo)率技術(shù)社區(qū)

基于單片機(jī)與FPGA的直接頻率數(shù)字合成器的設(shè)計(jì)方案

  • 基于單片機(jī)與FPGA的直接頻率數(shù)字合成器的設(shè)計(jì)方案-本文提出了一種基于單片機(jī)的直接頻率數(shù)字合成器的設(shè)計(jì)方案。方案采用單片機(jī)控制FPGA產(chǎn)生DDS信號(hào)輸出頻率范圍為20Hz~20KHz,幅度為0.3V~5V,兩路正交信號(hào)能夠?qū)崿F(xiàn)0o~359o的相位差,并通過(guò)MAX+plusII軟件進(jìn)行仿真驗(yàn)證。仿真結(jié)果表明,本方案可達(dá)到預(yù)定要求,具有較強(qiáng)的實(shí)用性。
  • 關(guān)鍵字: 頻率合成器  DDS  

制作一個(gè)基于STC15W4K48S4設(shè)計(jì)手機(jī)控制AD9850信號(hào)發(fā)生器的設(shè)計(jì)方案

  • 制作一個(gè)基于STC15W4K48S4設(shè)計(jì)手機(jī)控制AD9850信號(hào)發(fā)生器的設(shè)計(jì)方案-任何發(fā)射機(jī)或接收機(jī)都需要一個(gè)源。這個(gè)源可以是RC振蕩器、LC振蕩器、晶體振蕩器、鎖相環(huán)頻率合成器或DDS直接數(shù)字頻率合成器。而DDS直接數(shù)字頻率合成器是近10幾年的新興方案??v觀各種DDS芯片,數(shù)美國(guó)ADI公司的AD9850性價(jià)比最高。市面上滿天飛的一款DDS信號(hào)發(fā)生器就是采用此款芯片。出于成本和占有量的考慮,決定率先開(kāi)發(fā)配套此款DDS信號(hào)發(fā)生器的控制板。本控制板硬件使用最新版STC的51系列單片機(jī)STC15W4K48S4。
  • 關(guān)鍵字: dds  ad9850  振蕩器  

基于單片機(jī)和CPLD的DDS正交信號(hào)源

  • 基于單片機(jī)和CPLD的DDS正交信號(hào)源,其頻率幅度可精密控制,擴(kuò)展輸出頻率達(dá)300 kHz,增加掃頻輸出功能。采用紅外鍵盤(pán)控制頻率和幅度,采用液晶同步顯示信號(hào)的頻率和幅度;輸出端產(chǎn)生正弦波、方波、三角波、鋸齒波,梯形波、短形波、頻率突變的方波、尖脈沖數(shù)字信號(hào)等,且具有掃頻輸出的功能。測(cè)試結(jié)果表明,系統(tǒng)穩(wěn)定可靠,人機(jī)交互界面友好,操作簡(jiǎn)單方便。
  • 關(guān)鍵字: DDS  正交信號(hào)源  CPLD  濾波器  DT9205  AT28C64  

基于DSP+FPGA技術(shù)的高精度程控交流電源的實(shí)現(xiàn)

  • 介紹了一種基于DSP+FPGA芯片技術(shù)的高精度程控交流電源的實(shí)現(xiàn)方法,利用FPGA實(shí)現(xiàn)了任意波形發(fā)生功能,并對(duì)功率逆變等電路進(jìn)行了詳細(xì)的分析和設(shè)計(jì),最后給出了實(shí)驗(yàn)結(jié)果以及相關(guān)波形。
  • 關(guān)鍵字: 任意波形發(fā)生  諧波  DDS  

基于FPGA的流水線結(jié)構(gòu)DDS多功能信號(hào)發(fā)生器的設(shè)計(jì)與實(shí)現(xiàn)

  • 在應(yīng)用FPGA進(jìn)行DDS系統(tǒng)設(shè)計(jì)過(guò)程中,選擇芯片的運(yùn)行速度優(yōu)化和資源利用優(yōu)化常常是相互矛盾的,從發(fā)展趨勢(shì)和運(yùn)算要求看,系統(tǒng)速度指標(biāo)的意義比面積指標(biāo)更趨重要。基于此,介紹了一種流水線結(jié)構(gòu)來(lái)優(yōu)化傳統(tǒng)的相位累加器,在QuartusⅡ開(kāi)發(fā)環(huán)境下搭建系統(tǒng)模型、仿真及下載,并采用嵌入式邏輯分析儀分析和驗(yàn)證了實(shí)驗(yàn)結(jié)果。該系統(tǒng)可以完成多位頻率控制字的累加,能夠產(chǎn)生正弦波、方波和三角波,具有良好的實(shí)時(shí)性。
  • 關(guān)鍵字: 流水線相位累加器  DDS  FPGA  

基于FPGA和DDS技術(shù)的軟件無(wú)線電可控?cái)?shù)字調(diào)制器的設(shè)計(jì)

  • 本系統(tǒng)在分析數(shù)字調(diào)制技術(shù)和DDS原理的基礎(chǔ)上,詳述了一種基于FPGA的DSP技術(shù)和DDS技術(shù)的適合于軟件無(wú)線電使用的可控?cái)?shù)字調(diào)制器的設(shè)計(jì)過(guò)程,并在系統(tǒng)中進(jìn)行了功能驗(yàn)證。此調(diào)制器以FPGA硬件平臺(tái)為核心,可實(shí)現(xiàn)ASK,F(xiàn)SK,PSK,QAM等調(diào)制方式,靈活性強(qiáng)。
  • 關(guān)鍵字: 數(shù)字調(diào)制技術(shù)  軟件無(wú)線電  DDS  

基于FPGA的雙路可移相任意波形發(fā)生器

  • 本文論述了利用用FPGA來(lái)開(kāi)發(fā)DDS函數(shù)發(fā)生器的總體設(shè)計(jì)思路,詳細(xì)討論了任意波形產(chǎn)生、頻率精確調(diào)整、雙路移相輸出、PWM調(diào)制波產(chǎn)生、D/A轉(zhuǎn)換與濾波電路、鍵盤(pán)與顯示等諸方面軟硬件實(shí)現(xiàn)方法。 整個(gè)設(shè)計(jì)
  • 關(guān)鍵字: DDS  任意波形發(fā)生器  FPGA  

基于ARM的DDS信號(hào)發(fā)生器人機(jī)交互系統(tǒng)設(shè)計(jì)

  • 本文以Hynix公司生產(chǎn)的HMS30C7202工業(yè)級(jí)處理器作為控制器,以矩陣鍵盤(pán)作為輸入設(shè)備,以AMPIRE公司生產(chǎn)的AM-320240LTNQW-00H TFT LCD顯示屏作為顯示輸出設(shè)備,研究設(shè)計(jì)了相應(yīng)的硬件電路與顯示驅(qū)動(dòng)程序,在此基礎(chǔ)上完成了人機(jī)交互中英文顯示系統(tǒng)的設(shè)計(jì)。
  • 關(guān)鍵字: 信號(hào)源  DDS  LCD顯示屏  

如何預(yù)測(cè)直接數(shù)字頻率合成器(DDS)輸出頻譜中主相位截?cái)嚯s散的頻率和幅度

  • 現(xiàn)代直接數(shù)字頻率合成器(DDS)通常利用累加器和數(shù)字頻率調(diào)諧字(FTW)在累加器輸出端產(chǎn)生周期性的N位數(shù)字斜坡(見(jiàn)圖1)。 此數(shù)字斜坡可依據(jù)公式1定義DDS的輸出頻率(fO),其中fS為DDS采樣速率(或系統(tǒng)時(shí)鐘頻率)。
  • 關(guān)鍵字: 直接數(shù)字頻率合成器  DDS  FTW  

幅頻特性的測(cè)試愁白頭?DDS技術(shù)解你煩憂

  • 最初,對(duì)于DUT的幅頻特性的測(cè)試是在固定頻率點(diǎn)上逐點(diǎn)進(jìn)行。這種測(cè)試方法繁瑣、費(fèi)時(shí),且不直觀,有時(shí)還會(huì)得出片面的結(jié)果。例如,測(cè)量點(diǎn)之間的諧振現(xiàn)象和網(wǎng)絡(luò)特性的突變點(diǎn)常常被漏掉。
  • 關(guān)鍵字: DDS  幅頻特性  

遙測(cè)信號(hào)模擬源的設(shè)計(jì)及實(shí)現(xiàn)

  • 遙測(cè)信號(hào)模擬源是多通道信號(hào)發(fā)生器,模擬彈載組件,輸出模擬及數(shù)字信號(hào)供遙測(cè)艙采集,以判斷遙測(cè)艙是否正常。本設(shè)計(jì)基于DDS及數(shù)字可編程技術(shù),采用DAC芯片AD5312、運(yùn)放,RS422、429、LVDS等接口芯片,編寫(xiě)FPGA模塊,最終實(shí)現(xiàn)多達(dá)100路模擬電壓及40路數(shù)字信號(hào)輸出,并可在計(jì)算機(jī)上通過(guò)網(wǎng)絡(luò)進(jìn)行參數(shù)配置。該信號(hào)源輸出信號(hào)種類多,參數(shù)配置靈活方便,可滿足多個(gè)遙測(cè)組件的測(cè)試需求。
  • 關(guān)鍵字: 遙測(cè)  信號(hào)源  DDS  AD5312  測(cè)試  201706  

DDS-11A型實(shí)驗(yàn)室電導(dǎo)率儀使用方法

  • 電導(dǎo)率儀是實(shí)驗(yàn)室電導(dǎo)率測(cè)量?jī)x表,它能測(cè)定一般液體和高純水的電導(dǎo)率電導(dǎo)率儀是食品廠、飲用水廠辦理QS、HACCP認(rèn)證的必備檢驗(yàn)設(shè)備
  • 關(guān)鍵字: DDS-11A型實(shí)驗(yàn)室電導(dǎo)率  

dds正弦波信號(hào)發(fā)生器

  • 在數(shù)字信號(hào)處理器飛速發(fā)展的今天,微處理器的應(yīng)用已主領(lǐng)著電子技術(shù)領(lǐng)域的潮流,先進(jìn)的數(shù)字信號(hào)處理技術(shù),能實(shí)現(xiàn)各種復(fù)雜的功能。對(duì)正弦波信號(hào)發(fā)生器而言,數(shù)字DDS技術(shù)的誕生,使波形發(fā)生器技術(shù)有了進(jìn)一步的飛躍。就數(shù)字DDS波形...
  • 關(guān)鍵字: dds  正弦波  信號(hào)發(fā)生器  

CPLD DDS正交信號(hào)源濾波器

  • 桂林電子科技大學(xué) 韓劍 李德明 馮雪1 引言由于傳統(tǒng)的多波形函數(shù)信號(hào)發(fā)生器需采用大量分離元件才能實(shí)現(xiàn),且設(shè)計(jì)復(fù)雜,這里提出一種基于CPLD的多波形函數(shù)信號(hào)發(fā)生器。它采用CPLD作為函數(shù)信號(hào)發(fā)生器的處理器,以單片機(jī)和
  • 關(guān)鍵字: CPLD  DDS  單片機(jī)  

CPLD 實(shí)現(xiàn)DDS 信號(hào)源的設(shè)計(jì)

  • 中文摘要:利用CPLD 在高速數(shù)據(jù)處理方面的特點(diǎn)設(shè)計(jì)出以VHDL 硬件描述語(yǔ)言為設(shè)計(jì)輸入, 以AL TERA 公司的 EPM 7256 芯片為設(shè)計(jì)載體, 基于DDS 技術(shù)的任意波形信號(hào)發(fā)生器。該信號(hào)發(fā)生器能同時(shí)輸出兩路信號(hào), 輸出信號(hào)的頻
  • 關(guān)鍵字: CPLD  DDS 信號(hào)源  設(shè)計(jì)  
共260條 2/18 « 1 2 3 4 5 6 7 8 9 10 » ›|

dds-11a型實(shí)驗(yàn)室電導(dǎo)率介紹

您好,目前還沒(méi)有人創(chuàng)建詞條dds-11a型實(shí)驗(yàn)室電導(dǎo)率!
歡迎您創(chuàng)建該詞條,闡述對(duì)dds-11a型實(shí)驗(yàn)室電導(dǎo)率的理解,并與今后在此搜索dds-11a型實(shí)驗(yàn)室電導(dǎo)率的朋友們分享。    創(chuàng)建詞條

熱門(mén)主題

樹(shù)莓派    linux   
關(guān)于我們 - 廣告服務(wù) - 企業(yè)會(huì)員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國(guó)際技術(shù)信息咨詢有限公司
備案 京ICP備12027778號(hào)-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473