博客專欄

EEPW首頁 > 博客 > EUV光刻機內(nèi)部揭秘!

EUV光刻機內(nèi)部揭秘!

發(fā)布人:旺材芯片 時間:2021-11-09 來源:工程師 發(fā)布文章

PatrickWhelan正在透過他的潔凈室服面板凝視著事情的進展。

 

在他面前是一塊閃閃發(fā)光的玻璃,大約有一個烤箱那么大,上面刻有許多挖出的部分以減輕重量,看起來像一個外星圖騰。Whelan 的團隊正在將它粘在一塊咖啡桌大小的大鋁板上。金屬和玻璃都非常光滑,經(jīng)過數(shù)周的拋光以去除微小的瑕疵。在接下來的 24 小時內(nèi),隨著膠水凝固,工人們會神經(jīng)質(zhì)地監(jiān)控玻璃和金屬的位置,以確保它們完全融合在一起。




“這些將被放置在一起,達到微米級的精度,”Whelan指著設(shè)備告訴我。 附近的技術(shù)人員擔(dān)心他靠得太近,喊道:后退! “我不碰!我不碰!” Whelan笑著說道。 精度在這里是一項嚴肅的工作。因為我所處的位置是在荷蘭公司 ASML 位于康涅狄格州威爾頓的一間潔凈室里,該公司制造了世界上最先進的光刻機——這是用于制造晶體管、wire和其他微芯片基本組件的關(guān)鍵過程。這是一款令人夢寐以求的設(shè)備,成本高達 1.8 億美元,用于快速制作小至 13 納米的微芯片功能。如果您是英特爾或臺積電并且想要制造世界上最快的尖端計算機處理器,那么這種精度水平至關(guān)重要。機器最后在 ASML 荷蘭總部組裝,有一輛小型巴士的大小,并裝有 100,000 個微小的協(xié)調(diào)機構(gòu),其中包括一個系統(tǒng),該系統(tǒng)擁有一個每秒50000 次的激光。向客戶運送一臺這樣的設(shè)備需要四架 747。
  “這是一項非常困難的技術(shù)——就復(fù)雜性而言,它可能與曼哈頓計劃類似,”英特爾光刻主管 Sam Sivakumar 說。 在威爾頓,Whelan和他的團隊正在建造的glass-and-metal 模塊尤為關(guān)鍵。它將攜帶制造微芯片所需的圖案,當(dāng)機器用極紫外 (EUV) 光照射它時,它會來回擺動,照亮芯片圖案的不同部分。然后光線將反射到餐盤大小的硅片上,將圖案“燃燒”到位。 Whelan走到一個視頻監(jiān)視器前,顯示這些玻璃金屬裝置中的一個在測試時來回拉動。它重 30 公斤,但它在模糊中移動。 “這比戰(zhàn)斗機的加速還要快,”Whelan說,他的胡須和眼鏡被他的裝備遮住了?!叭绻腥魏嗡蓜拥臇|西,它就會飛散。”更重要的是,他說,設(shè)備必須停在一個納米大小的點上——“所以你有地球上最快的東西之一,幾乎可以在任何東西的最小點上安頓下來。 這種速度和準確性的結(jié)合是跟上摩爾定律的關(guān)鍵——隨著組件變得越來越小,微芯片中的晶體管數(shù)量大約每兩年翻一番,從而使芯片更便宜、更強大。晶體管封裝得越緊,芯片周圍的電信號就越快。 自 60 年代以來,芯片制造商通過每隔十年左右切換到波長更短的新形式的光來縮小組件。但到了 90 年代末,制造商仍停留在 193 納米光上——他們正在激烈爭論下一步該做什么。形勢越來越嚴峻。芯片制造商不得不使用越來越復(fù)雜的設(shè)計和技術(shù)來保持摩爾定律的運行,但他們設(shè)法維持了另外 20 年的性能提升。 然后,在 2017 年,ASML 推出了其準備好的 EUV 機器,它使用波長僅為 13.5 納米的光。憑借如此短的波長,芯片制造商可以比以往任何時候都更密集地組裝晶體管。CPU 可以更快地處理數(shù)字,使用更少的功率,或者只是變得更小。具有微小 EUV 功能的第一代芯片已經(jīng)在像谷歌和亞馬遜這樣的大公司工作,它們改進了語言翻譯、搜索引擎結(jié)果、照片識別,甚至像 GPT-3 這樣的人工智能,可以與一個令人毛骨悚然的人類交談和寫作質(zhì)量。 EUV 革命也正在影響日常消費者,因為 ASML 的機器被用于為產(chǎn)品制造芯片,包括一些蘋果智能手機和 Mac、AMD 處理器和三星的 Note10+ 手機。隨著 EUV 機器變得越來越普遍,它將提高性能并降低越來越多的日常設(shè)備的電源損耗。EUV 技術(shù)還可以實現(xiàn)更簡單的設(shè)計,這讓芯片制造商可以更快地行動并在每片晶圓上生產(chǎn)更多芯片,從而節(jié)省成本并轉(zhuǎn)嫁給消費者。 EUV 光刻的成功遠未得到保證,因為光線非常難以操縱,多年來專家預(yù)測 ASML 永遠無法弄清楚。事實上,ASML 的競爭對手佳能和尼康在幾年前也都放棄了嘗試。所以ASML現(xiàn)在在市場上占有一席之地:如果你想創(chuàng)造最尖端的處理器,你需要一臺它的機器。ASML 一年只生產(chǎn) 55 個,而且賣給業(yè)界的芯片巨頭;目前也已安裝 100 多個。 “摩爾定律基本上正在瓦解,如果沒有這臺機器,它就消失了,”CCS Insight 的研究主管Wayne Lam 說?!皼]有 EUV,你真的無法制造任何領(lǐng)先的處理器。” 一家公司壟斷微芯片生產(chǎn)的如此關(guān)鍵部分是極為罕見的。更令人驚訝的是工作的艱巨性:ASML 花費了 90 億美元的研發(fā)和 17 年的研究,這是一場不間斷的實驗、調(diào)整和突破。EUV 現(xiàn)在就在這里——它正在發(fā)揮作用。但是實現(xiàn)它所花費的努力和時間——以及它遲遲才出現(xiàn)——引發(fā)了一些不可避免的問題。EUV 能夠讓摩爾定律持續(xù)多久?接下來會發(fā)生什么?

當(dāng) Jos Benschop 于 1997 年加入 ASML 時,他已經(jīng)離開了 Phillips 一段時間,并涉足了一個擔(dān)心其未來的芯片行業(yè)。幾十年來,芯片制造工程師已經(jīng)掌握了光刻技術(shù)。這個概念很簡單。你設(shè)計芯片的組件——它的導(dǎo)線和半導(dǎo)體——然后將它們蝕刻成一系列“掩?!保拖衲阒谱饕粋€模板來在 T 恤上放置圖案一樣。然后將每個掩模放在硅片上并通過它照射光線(大致相當(dāng)于在模板上噴漆)。光使“光刻膠”(resist)變硬,這是晶片表面的化學(xué)層;然后其他化學(xué)品將該圖案蝕刻到硅中。在 60 年代,芯片制造商在此過程中使用可見光,波長小至 400 納米。然后他們轉(zhuǎn)向 248 nm 的紫外光,并逐漸將其降低到 193 nm——通常稱為深紫外光。 但是到了 90 年代末,他們已經(jīng)盡可能縮小了深紫外線的范圍,而且他們不確定如何縮小。他們似乎需要一個新的光源。當(dāng)時的 ASML 是一家只有 300 人的小公司,曾成功銷售其深紫外光刻工具。但他們意識到,為了保持相關(guān)性,他們需要進行一些認真的研發(fā)。 Benschop 是一位身材高大、棱角分明的高管,態(tài)度熱情而詼諧,他被聘為該公司新項目的第一位研究員工。他開始參加每年舉行兩次的大型會議。在那里,來自主要芯片公司和政府機構(gòu)的深思熟慮的人會摸著下巴,爭論下一步使用哪種形式的光。 “What would be thenext kid on the block?”去年夏天我們在 Zoom 上講話時 Benschop 就是這么說的。專家們琢磨了幾個選項,都存在很大的問題。一個想法是使用離子噴霧在芯片上繪制圖案;那會奏效,但沒有人能想出如何大規(guī)模地快速做到這一點。****電子束也是如此。有些人主張使用波長很小的 X 射線,但他們也面臨著挑戰(zhàn)。最后的想法是極紫外線,其波長可以低至 13.5 納米——非常接近 X 射線??雌饋聿诲e。 問題是 EUV 需要一種全新形式的光刻機。現(xiàn)有的使用傳統(tǒng)的玻璃透鏡將光聚焦到晶片上。但是 EUV 光會被玻璃吸收;它停止死亡。如果你想聚焦它,你就必須開發(fā)像太空望遠鏡中使用的那樣的曲面鏡。更糟糕的是,EUV 甚至?xí)豢諝馕?,因此您需要使機器內(nèi)部成為完全密封的真空。你需要可靠地產(chǎn)生 EUV 光;沒有人知道如何做到這一點。 英特爾和美國能源部都修改過這個想法。但這些主要是實驗室實驗。要創(chuàng)建可行的芯片制造光刻機,您需要開發(fā)可以快速工作并批量生產(chǎn)芯片的可靠技術(shù)。 經(jīng)過三年的深思熟慮,2000年ASML決定****上公司,押注EUV。他們是一家小公司,但如果他們能做到這一點,他們就會成為一個巨人。 Benschop 回憶說,要解決的工程問題太多了,“我們沒有動力自己做?!币虼耍珹SML 的高管們開始召集為其現(xiàn)有機器制造組件的公司。第一個電話打給了蔡司,這家德國光學(xué)公司多年來一直為 ASML 制造玻璃鏡片。 蔡司的工程師擁有 EUV 方面的經(jīng)驗,包括為 X 射線望遠鏡制造極其精密的透鏡和反射鏡。訣竅是在 EUV 反射鏡的表面涂上交替的硅和鉬層,每層只有幾納米厚。它們共同產(chǎn)生了一種圖案,可以反射多達 70% 的 EUV 光。 問題在于如何打磨它們。這臺機器最終需要 11 個鏡子來反射 EUV 光并將其聚焦在芯片上,就像 11 個乒乓球運動員將球從一個球彈到另一個球目標(biāo)一樣。由于目標(biāo)是蝕刻以納米為單位的芯片組件,因此每個鏡子都必須非常光滑。而最微小的缺陷會使 EUV 光子誤入歧途。
 為了讓你了解這個規(guī)模。假設(shè)你可以你把浴室里的鏡子吹到德國那么大,它會有大約五米高的凸起。蔡司的工程師們?yōu)樘胀h鏡制造的最光滑的 EUV 反射鏡被吹成相同的尺寸后,凸起只有 2 厘米高。這些用于 ASML 的鏡子必須平滑幾個數(shù)量級:如果它們有德國那么大,它們最大的缺陷可能不到一毫米高?!斑@些確實是世界上最精確的反射鏡,”負責(zé)蔡司下一代 EUV 光學(xué)器件開發(fā)的 Peter Kürz 說。 蔡司工作的很大一部分是檢查鏡子以尋找缺陷,然后使用離子束將單個分子敲掉,經(jīng)過數(shù)月的工作逐漸平滑表面。 在蔡司開發(fā)反射鏡的同時,Benschop 和其他 ASML 供應(yīng)商正在應(yīng)對他們的另一項重大挑戰(zhàn):如何創(chuàng)建能夠產(chǎn)生穩(wěn)定 EUV 流的光源。 這困擾了他們多年。 要產(chǎn)生 EUV,您需要創(chuàng)建等離子體,這是一種僅在極高溫度下才存在的物質(zhì)相。在早期的實驗中,用激光脈沖照射鋰以產(chǎn)生 EUV 光,他們改用錫,從而產(chǎn)生更大的爆發(fā)。 到 2000 年代初,ASML 與圣地亞哥公司 Cymer 和德國激光公司 Trumpf 合作,制造了一些類似于 RubeGoldberg 的裝置。有一個加熱容器可以使錫保持液態(tài)。它進入一個噴嘴,將一滴熔化的錫——“人類頭發(fā)直徑的三分之一,”該公司出生于澳大利亞的技術(shù)開發(fā)副總Danny Brown說——在將其射入機器的底部,相機系統(tǒng)跟蹤其進展。當(dāng)它到達發(fā)光室的中心時,激光脈沖會撞擊錫滴。錫在溫度達到約 500,000 K 的爆發(fā)中燃燒,產(chǎn)生發(fā)出 EUV 光的等離子體。該機制重復(fù)此過程,每秒射出并破壞錫滴 50,000 次。 “這不是直截了當(dāng)?shù)模屛覀冞@么說吧,”Brown說。 盡管他們現(xiàn)在可以產(chǎn)生 EUV 光,但布朗和他的團隊很快發(fā)現(xiàn)了新問題。錫爆炸產(chǎn)生的離子會堵塞光學(xué)元件。他們意識到,為了清理這些東西,他們可以將氫氣泵入光室,在那里它會與錫離子發(fā)生反應(yīng)并幫助將它們舀走。 但他們很快落后于計劃。Benschop最初預(yù)測到 2006 年他們將“批量”擁有 EUV 機器。實際上,到那一年他們只生產(chǎn)了兩個原型。原型工作,蝕刻圖案比歷史上任何光刻機都更精細。但他們的速度非常緩慢。光源還是太微弱了。在光刻中,每個光子都很重要;您生成的越厚,您可以越快地將圖案放置到硅上。 與此同時,這臺機器正在發(fā)展到令人難以置信的復(fù)雜尺寸。它包含移動晶圓的機械臂、將標(biāo)線(保持圖案的大塊玻璃)加速到地球重力的 32 倍的電機,以及整整 100,000 個零件、3,000 根電纜、40,000 個螺栓和兩公里長的軟管。更糟糕的是,一切都是相互關(guān)聯(lián)的:讓一部分工作,它會在其他地方產(chǎn)生問題。例如,事實證明,來自 EUV 光的熱量在微觀上改變了鏡子的尺寸。這迫使蔡司和 ASML 開發(fā)可以檢測任何變化的傳感器,觸發(fā)軟件使用精密執(zhí)行器來改變鏡子的位置。 “當(dāng)我們糾正一個問題時,我們繼續(xù)進行下一個問題,”Benschop 說。“你爬過每一座山,你都會看到下一座更高的山?!?/span> 微芯片行業(yè)的許多觀察家看著 ASML 一次又一次地落后于計劃,便認為他們最終會失敗。 
Evercore 的半導(dǎo)體行業(yè)分析師 CJ Muse 表示:“95% 的聰明人認為 EUV 永遠不會奏效?!?/span> ASML 知道EUV很難,為此他們和業(yè)界其他人正在執(zhí)行更加復(fù)雜的技巧,以盡可能地擴展深紫外光的性能,將更多的晶體管封裝到芯片上。一種稱為“浸入”的技術(shù)就被采用,其做法就是在芯片上放一層水,它折射入射光并使其聚焦成更緊密的圖案。 光刻工程師還開發(fā)了一種在芯片層上多次圖案化和雕刻的技術(shù)——也就是所謂的“多重圖案化”——以產(chǎn)生更精細的細節(jié)??傊?,這些方法將芯片組件推低到 20 納米。 但這些古怪的創(chuàng)新也讓芯片制造變得更加復(fù)雜。浸入需要在精細的光刻過程中控制水的存在,這絕非易事。芯片設(shè)計人員發(fā)現(xiàn)改變他們的設(shè)計以使用多種圖案是很麻煩的。深紫外線已經(jīng)耗盡了動力——每個人都知道這一點。 到 2010 年代中期,EUV 離成功終于又更進一步。Brown和他的團隊深入研究了科學(xué)文獻,尋找從每個錫滴中獲取更多信息的方法。作為一名研究等離子體物理學(xué)的前大學(xué)研究員,他因提出尖銳的科學(xué)問題而在 ASML 內(nèi)部廣為人知;首席技術(shù)官開玩笑地給了他一塊牌匾,上面寫著“Scientifically Accurate ButPractically Useless.”。 不過,這一次,沉浸在科學(xué)文獻中是有回報的。它提出了用激光擊中每個錫滴兩次的概念。第一次爆炸會將液滴壓平成煎餅形狀,這使得第二次爆炸(百萬分之一秒)能夠產(chǎn)生更多的 EUV。Brown的團隊設(shè)計了一種方法來大規(guī)模地做到這一點。 其他的發(fā)現(xiàn)是偶然的。隨著他們焚燒錫的能力提高,這個過程產(chǎn)生的碎片比氫氣可以清除的要多。鏡子性能下降。有一天,他們發(fā)現(xiàn)了一件有趣的事情:在機器打開進行維護后,鏡子并沒有那么快退化。事實證明,進入的空氣中的氧氣有助于逆轉(zhuǎn)污染。ASML 在設(shè)計中偶爾添加少量氧氣。 到 2017 年年中,該公司終于進行了一個設(shè)備演示,以行業(yè)友好的速度蝕刻芯片——每小時 125 片晶圓。在他位于圣地亞哥的辦公室里,Brown在荷蘭觀看了演示。他興高采烈;他換上了夏威夷襯衫,宣稱他終于可以去度假了。 “這東西就像zzzt zzztzzzt zzzt,”他回憶道,模仿標(biāo)線拉動的速度,以及機械臂大約每 30 秒在新晶圓中滑動一次?!斑@是最后一張多米諾骨牌,基本上說,'是的,EUV 光刻將會發(fā)生。'” 那一年,ASML 終于開始出貨將徹底改變芯片制造的機器。一旦市場意識到ASML壟斷了尖端工具,其股****開始飆升,達到549美元,使該公司的市值幾乎與英特爾相當(dāng)。 如果您是像我這樣對減速機感興趣,那么這臺機器真的很漂亮——甚至稱得上是工程奇跡。當(dāng)我訪問威爾頓時,他們帶我過去查看構(gòu)成設(shè)備頂部的一大塊銑削鋁。它長八英尺,寬六英尺,厚兩英尺。它像宇宙飛船的底盤一樣閃閃發(fā)光,它拿著玻璃標(biāo)線,上面還安裝了巨大的桶形分子泵。每個泵都包含以 30,000 RPM 旋轉(zhuǎn)的微小葉片,將所有氣體吸出機器以在內(nèi)部產(chǎn)生真空?!皩嶋H上,它們一次一個地將氣體分子擊開,”Whelan告訴我。 有人可能會爭辯說,ASML 的主要成功與其說是制造機器,不如說是測量機器。當(dāng)我脫下我的無塵套裝時,我參觀了機械車間,那里有大塊玻璃正在雕刻用于標(biāo)線。每塊玻璃磨完后,它會被放置在機器上,在幾周內(nèi)逐漸磨平數(shù)百小時。正如機械車間經(jīng)理Guido Capolino)告訴我的那樣,他們一直在測量玻璃,看看有多少瑕疵被去除,從粗微米開始。他指著我們身后的一臺拋光機,玻璃碎片在濕拋光混合物的頂部緩慢旋轉(zhuǎn)。

“對于這里的可變性,我們處于埃和納米級,”他說。在標(biāo)線中使用玻璃至關(guān)重要;它不像金屬那樣受熱變形。但它非常難以雕刻——這是工程師必須慢慢解決的另一個問題。 ASML 在 EUV 方面的成功贏得了整個微芯片行業(yè)的公司深深的尊重。Chris Mack 在芯片光刻領(lǐng)域擁有 4 年的經(jīng)驗,目前是 Fractilia 的首席技術(shù)官,這是一家為芯片制造制造軟件的公司。他說 ASML 及其合作伙伴成功的原因——其他人甚至不敢嘗試——純粹是頑固的堅持。 “他們剝了洋蔥,”他告訴我?!八麄冏吡?,哦,現(xiàn)在我得到了下一層。然后他們拉那層。然后沒有人真正知道它的核心是腐爛還是會變好。他們只是不斷地剝皮。值得稱贊的是,他們從未放棄?!?/span> 現(xiàn)在,他們有能力繼續(xù)制造越來越小的組件,英特爾、臺積電和三星等大公司可以制造更快、更節(jié)能的芯片。 “我們的設(shè)計師可以松一口氣了,”英特爾的 Sam Sivakumar 說?!澳柖蛇€活著。” 隨著越來越多的 EUV 機器上線并且其成本攤銷,該技術(shù)將滲透到越來越多的日常設(shè)備中。

回看過去多年的發(fā)展,ASML 在 EUV 方面的成功需要與從德國、美國到日本(這使得化學(xué)品對光刻掩模至關(guān)重要)等世界各地的公司進行大量合作。 現(xiàn)在,ASML 已經(jīng)在開發(fā)該設(shè)備的改進版本。下一代產(chǎn)品有更高的數(shù)值孔徑,它將能夠?qū)?EUV 光聚焦到更清晰的程度,使其能夠蝕刻可能低于 10 納米寬的組件。這種“高數(shù)值孔徑”的 EUV 機器將具有更大的反射鏡,因此整個機器也需要變得更大。英特爾目前是這些下一代機器的第一個客戶,它預(yù)計到 2025 年將銷售其第一批使用它們制造的芯片。 ASML 和大多數(shù)觀察家認為 EUV 將幫助芯片發(fā)展至少到 2030 年,甚至可能更長時間。畢竟,芯片設(shè)計人員開發(fā)的一些讓深紫外線持續(xù)這么長時間的技巧應(yīng)該可以用 EUV 重復(fù)。 但在未來十年左右的某個時候,芯片行業(yè)縮小功能的愿望將開始遇到一些物理限制,這些限制甚至比他們目前所克服的更難。一方面,量子問題開始出現(xiàn)。事實上,他們已經(jīng)有了:使用 ASML 的 EUV 機器的芯片制造商必須與“隨機錯誤”搏斗——EUV 光線自然會誤入歧途,在芯片上產(chǎn)生不正確的圖案。這些還不是引人注目的問題,但隨著小型芯片制造商的發(fā)展,它們會越來越皺眉。 假設(shè)“高數(shù)值孔徑”使摩爾定律持續(xù)到2030 年,那么接下來會發(fā)生什么?行業(yè)專家認為 ASML 將繼續(xù)探索更高數(shù)值孔徑的設(shè)備,使他們能夠?qū)?EUV 聚焦在越來越小的點上。與此同時,芯片設(shè)計人員正在研究改進芯片的策略,這些策略不那么依賴于進一步的小型化,例如向上擴展架構(gòu)并通過堆疊芯片層構(gòu)建到第三維。至于在 EUV 之后會出現(xiàn)什么光刻技術(shù),目前還沒有人知道。英特爾的 Sivakumar 也無法預(yù)測;Mack 表示,除了High NA EUV 之外,“沒有其他東西”正在密集開發(fā)中。 在威爾頓潔凈室里,Whelan讓我看了一眼他們的High NA EUV 機器。他卷起一扇巨大的車庫式門,把我領(lǐng)進了一個足球場那么大的全新無塵室。角落里有一張閃亮的鋁制標(biāo)線床。它就像我在最初的 EUV 機器上看到的那樣,但它不能再舒適地放在客廳里了;它幾乎和地鐵車廂一樣大,重達 17 噸。他們不得不在屋頂安裝起重機來移動它。 Whelan說,“這將成為幫助我們繼續(xù)將摩爾定律推向未來的機器?!?/span>


*博客內(nèi)容為網(wǎng)友個人發(fā)布,僅代表博主個人觀點,如有侵權(quán)請聯(lián)系工作人員刪除。



關(guān)鍵詞: EUV

技術(shù)專區(qū)

關(guān)閉