博客專欄

EEPW首頁 > 博客 > 萬字長文,說透光刻機(jī)

萬字長文,說透光刻機(jī)

發(fā)布人:旺材芯片 時間:2023-06-05 來源:工程師 發(fā)布文章
來源:馭勢資本

光刻機(jī)是芯片制造中最復(fù)雜、最昂貴的設(shè)備。芯片制造可以包括多個工藝,如初步氧化、涂光刻膠、曝光、顯影、刻蝕、離子注入。這個過程需要用到的設(shè)備種類繁多,包括氧化爐、涂膠顯影機(jī)、光刻機(jī)、薄膜沉積設(shè)備、刻蝕機(jī)、離子注入機(jī)、拋光設(shè)備、清洗設(shè)備和檢測設(shè)備等。在整個半導(dǎo)體芯片制造過程中,光刻是最復(fù)雜工藝,光刻工藝的費用約占芯片制造成本的1/3左右,耗費時間占比約為40-50%,光刻工藝所需的光刻機(jī)是最貴的半導(dǎo)體設(shè)備。
光刻機(jī)可分為前道光刻機(jī)和后道光刻機(jī)。光刻機(jī)既可以用在前道工藝,也可以用在后道工藝,前道光刻機(jī)用于芯片的制造,曝光工藝極其復(fù)雜,后道光刻機(jī)主要用于封裝測試,實現(xiàn)高性能的先進(jìn)封裝,技術(shù)難度相對較小。

圖表1:光刻工藝流程圖圖片數(shù)據(jù)來源:光刻機(jī)行業(yè)報告,中泰證券研究所
光刻機(jī)研發(fā)難度大,零部件海外壟斷
圖表 2:全球前五大半導(dǎo)體設(shè)備廠商研發(fā)費用率圖片數(shù)據(jù)來源:光刻機(jī)行業(yè)報告,中泰證券研究所
光刻機(jī)廠商研發(fā)費用率高:22年全球前五大半導(dǎo)體設(shè)備廠商的平均研發(fā)費用率為11%, 其中ASML研發(fā)費用率為15%, 高于其他設(shè)備廠商。
光刻機(jī)零部件供應(yīng)商遍布全球,核心零部件來自德國和美國:代表光刻機(jī)最高端技術(shù)的EUV光刻機(jī)里面有10萬多個零部件,全球超過5000家供應(yīng)商。整個光刻機(jī)中,荷蘭腔體和英國真空占32%,美國光源占27%,德國光學(xué)系統(tǒng)占14%, 日本的材料占27%。
圖表 3:EUV光刻機(jī)零部件占比圖片數(shù)據(jù)來源:光刻機(jī)行業(yè)報告,中泰證券研究所
光刻設(shè)備單價最高,市場規(guī)模全球第二
2021年全球前道光刻設(shè)備市場規(guī)模為172億美元,其市場份額在晶圓生產(chǎn)設(shè)備中占比為20%,僅次于刻蝕設(shè)備。光刻機(jī)價格昂貴, ASML當(dāng)前EUV光刻機(jī)單價為1.5億-2億美元。
圖表 4:全球半導(dǎo)體制造設(shè)備市場份額數(shù)據(jù)來源:光刻機(jī)行業(yè)報告,中泰證券研究所
IGBT相比MOSFET,可在更高電壓下持續(xù)工作,同時需要兼顧高功率密度、低損耗、高可靠性、散熱好、低成本等因素。一顆高性能、高可靠性與低成本的IGBT芯片,不僅僅需要在設(shè)計端不斷優(yōu)化器件結(jié)構(gòu),對晶圓制造和封裝也提高了更高的要求。
圖表 5:2021年晶圓生產(chǎn)設(shè)備市場份額占比圖片數(shù)據(jù)來源:光刻機(jī)行業(yè)報告,中泰證券研究所
從接觸式到EUV, ASML成為絕對龍頭

1961年, 第一臺接觸式光刻機(jī)由美國GCA推出, 歷經(jīng)60年的發(fā)展,ASML后來者居上,成為當(dāng)前光刻機(jī)行業(yè)的絕對龍頭。
光刻機(jī)問世:1955年,貝爾實驗室開始采用光刻技術(shù), 1961年,GCA公司制造出第一臺接觸式光刻機(jī)。

步進(jìn)式光刻機(jī)推出:1978年,步進(jìn)式光刻機(jī)推出,1984年尼康和GCA各占30%份額,同年ASML成立。

浸沒式光刻機(jī)推出:2000年,ASML推出雙工件臺光刻機(jī),2003年ASML推出浸沒式光刻機(jī),至此ASML一舉超越其他廠商,后來者居上。

EUV光刻機(jī)推出:2013年,ASML推出第一臺EUV量產(chǎn)產(chǎn)品,進(jìn)一步加強(qiáng)行業(yè)壟斷地位。

圖表 6:光刻技術(shù)發(fā)展歷程數(shù)據(jù)來源:光刻機(jī)行業(yè)報告,中泰證券研究所
光刻技術(shù):從接觸式到接近式
接觸式光刻技術(shù)良率低、成本高:接觸式光刻技術(shù)出現(xiàn)于20世紀(jì)60年代,是小規(guī)模集成電路時期最主要的光刻技術(shù)。接觸式光刻技術(shù)中掩膜版與晶圓表面的光刻膠直接接觸,一次曝光整個襯底,掩膜版圖形與晶圓圖形的尺寸關(guān)系是1:1 ,分辨率可達(dá)亞微米級。
特點:接觸式可以減小光的衍射效應(yīng),但在接觸過程中晶圓與掩膜版之間的摩擦容易形成劃痕,產(chǎn)生顆粒沾污,降低了晶圓良率及掩膜版的使用壽命,需要經(jīng)常更換掩膜版,故接近式光刻技術(shù)得以引入。

接近式光刻技術(shù)分辨率有限:接近式光刻技術(shù)廣泛應(yīng)用于20世紀(jì)70年代,接近式光刻技術(shù)中的掩膜版與晶圓表明光刻膠并未直接接觸,留有被氮氣填充的間隙。

特點:最小分辨尺寸與間隙成正比,間隙越小,分辨率越高。缺點是掩膜版和晶圓之間的間距會導(dǎo)致光產(chǎn)生衍射效應(yīng),因此接近式光刻機(jī)的空間分辨率極限約為2μ m。隨著特征尺寸縮小,出現(xiàn)了投影光刻技術(shù)。

圖表 7:接觸式光刻示意圖圖片
圖表 8:接近式光刻示意圖圖片數(shù)據(jù)來源:光刻機(jī)行業(yè)報告,中泰證券研究所
光刻技術(shù):從接近式到投影式

投影光刻技術(shù)有效提高分辨率:20世紀(jì)70年代中后期出現(xiàn)投影光刻技術(shù),基于遠(yuǎn)場傅里葉光學(xué)成像原理,在掩膜版和光刻膠之間采用了具有縮小倍率的投影成像物鏡,有效提高了分辨率。早期掩膜版與襯底圖形尺寸比為1:1,隨著集成電路尺寸的不斷縮小,出現(xiàn)了縮小倍率的步進(jìn)重復(fù)光刻技術(shù)。
步進(jìn)重復(fù)光刻主要應(yīng)用于0.25μm以上工藝:光刻時掩膜版固定不動,晶圓步進(jìn)運動,完成全部曝光工作。隨著集成電路的集成度不斷提高,芯片面積變大,要求一次曝光的面積增大,促使更為先進(jìn)的步進(jìn)掃描光刻機(jī)問世。目前步進(jìn)重復(fù)光刻主要應(yīng)用于0.25μ m以上工藝及先進(jìn)封裝領(lǐng)域。

步進(jìn)掃描光刻被大量采用:步進(jìn)掃描光刻機(jī)在曝光視場尺寸及曝光均勻性上更有優(yōu)勢,在0.25μm以下的制造中減少了步進(jìn)重復(fù)光刻機(jī)的應(yīng)用。步進(jìn)掃描采用動態(tài)掃描方式,掩膜版相對晶圓同步完成掃描運動,完成當(dāng)前曝光后,至下一步掃描場位置,繼續(xù)進(jìn)行重復(fù)曝光,直到整個晶圓曝光完畢。從0.18μm節(jié)點開始,硅基底CMOS工藝大量采用步進(jìn)掃描光刻,7nm以下工藝節(jié)點使用的EUV采用的也是步進(jìn)掃描方式。

圖表 9:投影光刻示意圖圖片
圖表 10:步進(jìn)重復(fù)光刻示意圖圖片
圖表11:步進(jìn)掃描光刻示意圖圖片
光刻技術(shù):干法光刻和浸潤式光刻
投影光刻技術(shù)根據(jù)投影物鏡下方和晶圓間是否有水作為介質(zhì)可以分為干式光刻和浸潤式光刻。
干式光刻技術(shù)無法滿足不斷縮小的線寬:光從投影物鏡射出,由玻璃介質(zhì)進(jìn)入空氣介質(zhì),會發(fā)生衍射,光角度發(fā)生變化,最終成像于晶圓表面。隨著線寬不斷縮小,衍射效應(yīng)不斷增加,需要增大投影物鏡直徑來接受更多的光, 這導(dǎo)致物鏡內(nèi)聚焦的光角度越來越大,再經(jīng)過折射效應(yīng), 射出投影物鏡的光角度接近水平,無法成像,因此出現(xiàn)了浸潤式光刻技術(shù)。

浸潤式光刻技術(shù)使光刻水平進(jìn)一步提高:投影物鏡下方和晶圓間充滿水,由于水的折射率和玻璃接近(在193nm波長中,折射率空氣=1,水=1.44,玻璃約為1.5),從投影物鏡射出的光進(jìn)入水介質(zhì)后,折射角較小,光可以正常從物鏡中折射出來。ArF光源加浸潤技術(shù)實際等效的波長為193nm/1.44=134nm。

圖表 11:干式光刻示意圖圖片圖片
圖表 12:浸潤式系統(tǒng)示意圖圖片
圖表13:光線在玻璃、空氣、水中的折射圖片數(shù)據(jù)來源:光刻機(jī)行業(yè)報告,中泰證券研究所
光刻機(jī)的技術(shù)水平?jīng)Q定集成電路的發(fā)展水平
光刻機(jī)的技術(shù)水平很大程度上決定了集成電路的發(fā)展水平。隨著EUV光刻機(jī)的出現(xiàn),芯片制程最小達(dá)到3nm。目前ASML正在研發(fā)High-NA EUV光刻機(jī),制程可達(dá)2nm、 1.8nm,預(yù)計2025年量產(chǎn)。同時,英偉達(dá)在23年GTC大會上也表示其通過突破性的光刻計算庫cuLitho,將計算光刻加速40倍以上,使得2nm及更先進(jìn)芯片的生產(chǎn)成為可能, ASML、臺積電已參與合作,屆時將帶動芯片性能再次提高。
圖表 14:各個工藝節(jié)點和光刻技術(shù)的關(guān)系圖片
圖表 15:ASML對客戶節(jié)點演進(jìn)的預(yù)測圖片
多重曝光亦可實現(xiàn)更小線寬,但工藝難度大
光刻技術(shù)利用多重曝光工藝實現(xiàn)更小線寬。三種多重曝光技術(shù):LELE、LFLE、SADP,誤差較小的是SADP。
1)LELE(LITHO-ETCH- LITHO-ETCH 光刻-刻蝕-光刻-刻蝕:原理是把原來一層光刻圖形拆分到兩個或多個掩膜上,利用多次曝光和刻蝕來實現(xiàn)原來一層設(shè)計的圖形。

2)LFLE(LITHO-FREEZE-LITHO-ETCH 光刻-固化-光刻-刻蝕):原理是將第二層光刻膠加在第一層已被化學(xué)凍結(jié)但沒去除的光刻膠上,再次進(jìn)行光刻,形成兩倍結(jié)構(gòu)。LELE和LFLF技術(shù)的特點就是流程簡單,缺點是兩次光刻之間存在對準(zhǔn)問題,如果工藝不夠嚴(yán)謹(jǐn), 每次曝光的線寬偏差和兩次曝光圖形之間套刻誤差將導(dǎo)致圖形局部周期性的起伏。

圖表 16:LELE原理圖片
圖表 17:套刻誤差引起的周期移動圖片
3) SADP又稱側(cè)墻圖案轉(zhuǎn)移,用沉積、刻蝕技術(shù)提高光刻精度:在晶圓上沉積金屬介質(zhì)層、硬掩膜材料和芯軸材料(犧牲層)旋涂光刻膠,曝光顯影后留下所需圖形并刻蝕核心芯軸在芯軸外圍沉積一層間隔側(cè)墻,側(cè)墻的大小即互連線的線間距,要精確控制其均勻度保證互連線間距的均一性清除掉芯軸材料,僅留下側(cè)壁,再一次刻蝕將側(cè)壁圖形轉(zhuǎn)移到下層掩膜層側(cè)墻清除,經(jīng)過掩膜層修飾后的圖形,經(jīng)過再一次刻蝕后傳遞給金屬介質(zhì)層形成最終圖形, 線寬僅為原來的1/2,SADP可以兩次達(dá)到4倍精度。
總結(jié):以沉積形成的側(cè)墻為掩膜,在金屬介質(zhì)層上刻蝕形成最終圖形。難點:工藝過程對側(cè)壁沉積的厚度、刻蝕形貌的控制極其重要。
圖表 18:SADP技術(shù)工藝流程圖片
多重曝光可實現(xiàn)7nm制程但技術(shù)復(fù)雜成本高:多次LE或SADP可以實現(xiàn)7nm制程, 但多重曝光技術(shù)提高了對刻蝕、 沉積等工藝的技術(shù)要求并且增加了使用次數(shù), 使晶圓光刻成本增加了2-3倍。
EUV可實現(xiàn)5nm以下制程且成本低:目前只有通過EUV能達(dá)到5nm及以下制程。此外, EUV的使用可以有效減少刻蝕、 沉積等工藝步驟, 工藝簡單且光刻成本低。

圖表 19:每片晶圓光刻成本圖片
圖表 20:對蝕刻和沉積的需求圖片
從接觸式到EUV, ASML成為絕對龍頭
歷史轉(zhuǎn)折點:ASML憑借浸潤式光刻機(jī)壟斷市場。 在浸潤式光刻技術(shù)出現(xiàn)之前, 各廠商專注于157nm波長技術(shù)的研發(fā), “浸潤式微影技術(shù)” 被提出后, ASML開始與臺積電合作開發(fā)浸潤式光刻機(jī), 并于2007年推出浸潤式光刻機(jī), 成功壟斷市場。而同為光刻巨頭的日本尼康、 日本佳能主推的157nm光源干式光刻機(jī)被市場逐漸拋棄, 兩家公司由盛轉(zhuǎn)衰。
ASML一家獨大, Nikon和Canon瓜分剩余市場。

1) 全球光刻機(jī)市場的主要競爭公司為ASML、Nikon和Canon。ASML在超高端光刻機(jī)領(lǐng)域獨占鰲頭,旗下產(chǎn)品覆蓋面最廣。Canon光刻機(jī)主要集中在i-line光刻機(jī), Nikon除EUV外均有涉及。
2) 光刻機(jī)市場份額主要被ASML、Canon、Nikon包攬,從這三家的占比情況來看,2022年ASML占據(jù)82%,Canon占據(jù)10%,Nikon占據(jù)8%。
圖表 21:2022年全球光刻機(jī)TOP3市場份額占比情況圖片
圖表22:2022年全球半導(dǎo)體光刻機(jī)TOP3廠商出貨情況圖片
EUV光刻機(jī)為ASML貢獻(xiàn)最主要營收
EUV光刻機(jī)為ASML貢獻(xiàn)最主要營收。從ASML各產(chǎn)品銷售額來看,2022年EUV光刻機(jī)在ASML前道光刻機(jī)產(chǎn)品銷售額占比近50%,其次是ArFi的35%。EUV和ArFi 作為高端機(jī)型,單價較貴,為ASML貢獻(xiàn)了主要營收增長動力。
KrF出貨量最多。從ASML各產(chǎn)品出貨量來看,2022年KrF出貨量最多,其次是ArFi,再到EUV。

圖表23:ASML各類光刻機(jī)銷售額(百萬歐元)圖片
圖表24:ASML各類光刻機(jī)出貨量(臺)圖片
ASML憑借光刻機(jī)在全球半導(dǎo)體設(shè)備廠商中位列第二
ASML憑借光刻機(jī)在全球半導(dǎo)體設(shè)備廠商中位列第二。 根據(jù)統(tǒng)計數(shù)據(jù), 在2021年全球半導(dǎo)體設(shè)備廠商銷售額排行中, ASML位列第二, 銷售額達(dá)到217.75億美元, 僅次于美國應(yīng)用材料。
圖表25:2021年全球前十大半導(dǎo)體設(shè)備廠商(億美元)圖片
ASML是全球唯一的EUV供應(yīng)商
ASML光刻機(jī)種類最齊全,是全球唯一可生產(chǎn)EUV光刻機(jī)的公司,制程最小可達(dá)3nm。
1)從類型來看, ASML覆蓋了干式DUV光刻機(jī)、浸沒式DUV光刻機(jī)及EUV光刻機(jī),是全球唯一可生產(chǎn)EUV光刻機(jī)的公司,具有絕對領(lǐng)先優(yōu)勢。

2)從光源來看, ASML覆蓋了i-line、 KrF、 ArF和極紫外光源,最小光源波長為13.5nm。

3)從分辨率來看, ASML覆蓋了220nm、 110nm、 80nm、 38nm、 13nm等節(jié)點, EUV光刻機(jī)是目前全球分辨率最小的光刻機(jī),經(jīng)過
多重曝光等工藝疊加制程可達(dá)到5nm/3nm。

圖表26:ASML半導(dǎo)體光刻機(jī)產(chǎn)品參數(shù)圖片
圖表27:ASML光刻機(jī)發(fā)展歷程圖片

Nikon光刻機(jī)集中于DUV
Nikon光刻機(jī)集中于DUV,是除了ASML以外唯一可以生產(chǎn)浸沒式光刻機(jī)的廠商。
1)從類型來看, Nikon具有干式DUV光刻機(jī)、浸沒式DUV光刻機(jī),是除了ASML以外唯一可以生產(chǎn)浸沒式光刻機(jī)的廠商。

2)從光源來看, Nikon覆蓋了i-line、 KrF、 ArF光源,最小光源波長為193nm。

3)從分辨率來看,Nikon覆蓋了280nm、 110nm、 65nm、 38nm等節(jié)點。
圖表 28:Nikon半導(dǎo)體光刻機(jī)產(chǎn)品參數(shù)圖片數(shù)據(jù)來源:光刻機(jī)行業(yè)報告,中泰證券研究所
Canon光刻機(jī)集中于低端類型
Canon半導(dǎo)體光刻機(jī)主要集中于低端類型。

1)從類型來看, Canon具有低端半導(dǎo)體的i-line和KrF光刻機(jī),未覆蓋EUV、 ArFi(浸沒式)、 ArF等機(jī)型。

2)從光源來看, Canon覆蓋了i-line、 KrF光源,最小光源波長為248nm。

3)從分辨率來看, Canon覆蓋了1.5微米、 0.8微米、 350nm、 90nm等節(jié)點。
圖表 29:Canon半導(dǎo)體光刻機(jī)產(chǎn)品參數(shù)圖片數(shù)據(jù)來源:光刻機(jī)行業(yè)報告,中泰證券研究所

光刻機(jī):多個先進(jìn)系統(tǒng)組合,核心零部件被海外廠商壟斷

光刻機(jī):人類科技之巔
光刻機(jī)是一種投影曝光系統(tǒng):光刻機(jī)由光源、 照明系統(tǒng)、 物鏡、 工件臺等部件組裝而成。在芯片制作中, 光刻機(jī)會投射光束, 穿過印有圖案的光掩膜版及光學(xué)鏡片, 將線路圖曝光在帶有光感涂層的硅晶圓上。通過蝕刻曝光或未受曝光的部分來形成溝槽, 再進(jìn)行沉積、 蝕刻等工藝形成線路。
光刻機(jī)的三大核心系統(tǒng):光源系統(tǒng)、 光學(xué)鏡頭、 雙工作臺系統(tǒng)。

圖表 30:光刻機(jī)總體結(jié)構(gòu)圖片
圖表 31:光刻機(jī)核心系統(tǒng)介紹圖片
圖表 32:光刻機(jī)結(jié)構(gòu)圖片
圖表 33:光刻機(jī)核心部件結(jié)構(gòu)圖片
光刻機(jī)分辨率由光源波長、數(shù)值孔徑、光刻工藝因子決定

光刻分辨率是光刻曝光系統(tǒng)最重要的技術(shù)指標(biāo),由光源波長、數(shù)值孔徑、光刻工藝因子決定。根據(jù)瑞利準(zhǔn)則, 分辨率公式為R= k1 * λ/NA,λ 代表光源波長,NA代表物鏡的數(shù)值孔徑,k1代表與光刻工藝因子。數(shù)值孔徑指透鏡與被檢物體之間介質(zhì)的折射率(n)和孔徑角(2a) 半數(shù)的正弦之乘積。公式為:NA=n*sin α 。n 為投影物鏡系統(tǒng)像方介質(zhì)的折射率,α為投影物鏡像方半孔徑角。孔徑角又稱“鏡口角” ,是透鏡光軸上的物體點與物鏡前透鏡的有效直徑所形成的角度??讖浇窃酱螅M(jìn)入透鏡的光通量就越大,它與透鏡的有效直徑成正比,與焦點的距離成反比。
瑞利準(zhǔn)則指衍射極限系統(tǒng)中的分辨率極限。理想的成像系統(tǒng),一個點所成的像是一個完美的點,但實際光學(xué)系統(tǒng)中的透鏡具有一定的孔徑大小, 由此導(dǎo)致所成的像不是一個點, 而是一個艾里斑。對于兩個距離較近的點, 所成的光斑也距離比較近。能夠區(qū)分兩個光斑的最小距離, 就是分辨率。當(dāng)一個艾里斑的中心與另一個艾里斑的第一極小值重合時,達(dá)到極限點,該極限被稱為瑞利準(zhǔn)則。

圖表 34:分辨率極限示意圖圖片
圖表 35:孔徑角示意圖圖片
三方面提高光刻機(jī)分辨率:增大數(shù)值孔徑、縮短波長、減小光刻工藝因子。分辨率指投影光學(xué)系統(tǒng)在晶圓上可實現(xiàn)的最小線寬。光刻機(jī)分辨率由光源波長、數(shù)值孔徑、光刻工藝因子決定。因此可以從以下三方面提高分辨率:1)增大投影光刻物鏡的數(shù)值孔徑;2)縮短曝光波長;3)減小光刻工藝因子。
1) 增大投影光刻物鏡的數(shù)值孔徑:一方面可以改進(jìn)投影式透鏡系統(tǒng)來增大入射角,另一方面可以采用折射率高的介質(zhì)-浸潤式。非球面的使用能夠在不增加獨立像差數(shù)的前提下,增加自變量的個數(shù),有利于改善像質(zhì),同時在同等約束條件下,減少了光學(xué)元件的數(shù)量。非球面的應(yīng)用使物鏡NA可以增加到0.9,接近物理極限(干式光刻);引入浸沒式技術(shù)后,物鏡NA可以增加到 1.1以上(浸沒式光刻);加入反射鏡組成折反式結(jié)構(gòu)理論上物鏡NA可到 1.35 (極限值)。趨勢為(干式) 球面鏡→非球面
鏡→(浸沒式)非球面鏡→折返式。

2)縮短曝光波長:由于晶體管越做越小,元件線路越來越密集,光刻機(jī)需要達(dá)到更高的分辨率,因此必須要尋找波長越來越短的光源。
圖表 36:數(shù)值孔徑變化趨勢圖片
圖表 37:提高數(shù)值孔徑的方法圖片
3) 減小光刻工藝因子: 計算光刻OPC——在掩膜上增加輔助結(jié)構(gòu)來消除圖像失真,實現(xiàn)分辨率的提高;離軸照明OAI ——通過采用特殊光源讓正入射方式光變成斜入射方式,目的是在同等數(shù)值孔徑內(nèi)容納更多的高階光,從而曝光更小尺寸結(jié)構(gòu),提高分辨率;相移掩膜PSM ——當(dāng)兩個光源進(jìn)行成像時會在重合部分產(chǎn)生干涉效應(yīng),使光強(qiáng)增大,導(dǎo)致兩個光源不能有效地區(qū)分開,如果通過改變掩膜結(jié)構(gòu)在其中一個光源處采用180度相移,這兩處光源產(chǎn)生的光會產(chǎn)生相位相消,光強(qiáng)相消,兩個光源可以區(qū)分開,提高分辨率。
工藝因子已突破理論極限:理論上對于單次曝光 k1 的最小極限約為 0.25,通過組合使用OPC、多重圖形等分辨率增強(qiáng)技術(shù),光刻工藝因子已突破其理論極限0.25。

圖表 38:光刻OPC圖片
圖表 39:離軸照明OAI圖片
圖表 40:相移掩膜PSM圖片數(shù)據(jù)來源:光刻機(jī)行業(yè)報告,中泰證券研究所
光源系統(tǒng):能量的來源,光刻工藝的首要決定項
光源是光刻機(jī)核心系統(tǒng)之一, 光刻機(jī)的工藝能力首先取決于其光源的波長。

光源分為汞燈產(chǎn)生的紫外光、 深紫外光、 極紫外光, 目前光源波長已發(fā)展到13.5nm:為了追求更小的芯片制程, 需要光源波長不斷變短, 最早光刻機(jī)的光源采用高壓汞燈產(chǎn)生的紫外光源,高壓汞燈可產(chǎn)生436nm(g-line 、365nm( i-line ) 波長紫外光隨后,業(yè)界選用了準(zhǔn)分子激光器產(chǎn)生的深紫外光源, 深紫外光激光(DUV laser) 可達(dá)KrF(248nm)、ArF(193nm)、F2(157nm)制程突破7nm以下時,需要極紫外光激光(EUV laser) ,可達(dá)13.5nm極短波長的光源, 該光源無法從激光器中產(chǎn)生, 須由高能激光轟擊金屬錫激發(fā)的等離子體而產(chǎn)生。
圖表41:光源波長發(fā)展歷程圖片
圖表42:光譜圖圖片
光刻光源系統(tǒng)不斷發(fā)展, 從高壓汞燈光刻光源到深紫外光光源再到極紫外光光源。

1) 高壓汞燈:一種氣體放電電光源, 汞蒸氣被能量激發(fā), 汞原子最外層電子受到激發(fā)從而躍遷, 落回后放出光子。放電管內(nèi)充有啟動用的氬氣和放電用的汞。
2) 深紫外光光源: 一般采用準(zhǔn)分子激光器作為光源。準(zhǔn)分子激光光源工作介質(zhì)一般為稀有氣體及鹵素氣體, 并充入惰性氣體作為緩沖劑, 工作氣體受到放電激勵, 在激發(fā)態(tài)形成短暫存在的“準(zhǔn)分子” , 準(zhǔn)分子受激輻射躍遷, 形成紫外激光輸出。準(zhǔn)分子激光器常在輸出能量、 波長、 線寬、 穩(wěn)定性等方面遠(yuǎn)超越前期的汞燈光源。
圖表43:高壓汞燈光刻光源系統(tǒng)結(jié)構(gòu)圖圖片
圖表44:紫外激光器照明系統(tǒng)結(jié)構(gòu)圖圖片
圖表45:Cymer準(zhǔn)分子激光器的工作原理圖片
3) 極紫外光光源: 極紫外光光源由光的產(chǎn)生、 光的收集、 光譜的純化與均勻化三大單元組成。工作元器件包括大功率CO2激光器、 多層涂層鏡、 負(fù)載、 光收集器、 掩膜版等。
極紫外光光源原理:高功率激光擊打金屬錫,產(chǎn)生等離子體,輻射出極紫外光。將高功率的二氧化碳激光打在直徑為30微米的錫液滴上,通過高功率激光蒸發(fā)錫滴, 把融化的錫從高處以每秒5萬次的頻率滴下,每一滴錫20微米的大小, 瞄準(zhǔn)每一滴錫滴,以CO2激光器產(chǎn)生的高能激光擊中并產(chǎn)生等離子體,從而發(fā)出13.5nm波長的EUV光。實際上激光會發(fā)出兩個脈沖——預(yù)脈沖和主脈沖。預(yù)脈沖首先擊中錫珠, 將其變成正確的形狀,然后主脈沖將壓扁的錫珠轉(zhuǎn)化為等離子體,****出EUV光。

圖表46:EUV光源系統(tǒng)結(jié)構(gòu)圖圖片
圖表47:EUV光源雙脈沖方案圖片
圖表48:EUV光產(chǎn)生的過程圖片
EUV 光刻機(jī)技術(shù)難點主要是光源功率高:為滿足極紫外光刻需求,光源應(yīng)具有以下性能: (1)光源功率達(dá)250W, 且功率波動??;(2)較窄的激光線寬,具有頻率噪聲和很小的相對強(qiáng)度噪聲,減少光學(xué)損耗;(3) 較高的系統(tǒng)效率。光源轉(zhuǎn)化率最終要達(dá)到250w以上的功率,因此激光器的平均功率要達(dá)到20kW。為了讓激光束以極大的功率穩(wěn)定傳輸,系統(tǒng)非常復(fù)雜性。EUV 激光系統(tǒng)由大約 45 萬個零件組成,重約 17 噸。從種子光發(fā)生器到錫珠有 500 多米的光路,對所有零部件的要求非??量獭?/span>
Gigaphoton( EUV光源供應(yīng)商之一) 激光器功率達(dá)27kW:Gigaphoton 成立以來一直為 ASML、 Nikon和Canon提供激光光源。共設(shè)計三款EUV光源,分別為Proto#1、Proto#12、Pilot#1, 其中Pilot#1為商業(yè)化應(yīng)用的產(chǎn)品,激光器功率為27kw, 輸出功率達(dá)到250W。目前EUV光源只有兩家公司能夠生產(chǎn):一家是美國Cymer, 另外一家是日本Gigaphoton。

圖表49:Gigaphoton 公司 EUV 光源產(chǎn)品參數(shù)圖片
曝光系統(tǒng):照明系統(tǒng)+投影物鏡
曝光系統(tǒng):曝光系統(tǒng)包含照明系統(tǒng)( 光源加工) 和投影物鏡( 高分辨成像) , 是光刻機(jī)中最昂貴最復(fù)雜的部件之一。物鏡的性能決定了光刻機(jī)的線寬、 套刻精度, 是光刻機(jī)的核心部件, 其技術(shù)水平很大程度上代表了光刻機(jī)的技術(shù)水平。
圖表49:光刻機(jī)照明與投影物鏡系統(tǒng)的工作流程圖圖片
照明系統(tǒng):光源高質(zhì)量加工的關(guān)鍵
照明系統(tǒng)為投影物鏡成像提供特定光線角譜和強(qiáng)度分布的照明光場。照明系統(tǒng)位于光源與投影物鏡之間, 是復(fù)雜的非成像光學(xué)系統(tǒng)。照明系統(tǒng)的主要功能是為投影物鏡成像提供特定光線角譜和強(qiáng)度分布的照明光場。照明系統(tǒng)包括光束處理、光瞳整形、 能量探測、 光場勻化、 中繼成像和偏振照明等單元。
圖表50:光學(xué)系統(tǒng)原理圖片照明系統(tǒng)組成部件:1)光束處理單元:與光源相連, 主要實現(xiàn)光束擴(kuò)束、 光束傳輸、 光束穩(wěn)定和透過率控制等功能,其中光束穩(wěn)定由光束監(jiān)測和光束轉(zhuǎn)向兩部分組成。2)光瞳整形單元:光刻機(jī)需要針對不同的掩膜結(jié)構(gòu)采用不同的照明模式以增強(qiáng)光刻分辨力,提高成像對比度。光瞳整形單元通過光學(xué)元件調(diào)制激光束的強(qiáng)度或相位分布,實現(xiàn)多種照明模式。3)光場勻化單元:用于生成特定強(qiáng)度分布的照明光場。引入透射式復(fù)眼微透鏡陣列, 每個微透鏡將擴(kuò)束準(zhǔn)直后的光源分割成多個子光源, 每個子光源經(jīng)過科勒照明鏡組后在掩膜面疊加,從而實現(xiàn)高均勻性的照明光場。4)中繼鏡:在掩膜面上形成嚴(yán)格的光束強(qiáng)度均勻的照明區(qū)域并將中間的平面精確成像在掩膜版平面。
圖表51:照明系統(tǒng)結(jié)構(gòu)
照明系統(tǒng)技術(shù)難點:為了使光能在晶圓上完美成像,需要進(jìn)行高質(zhì)量加工。

1) 提升光均勻度:光刻要以來回掃描的方式成像, 這束條形光的任何位置能量都需一致。需要通過鏡子進(jìn)行多次反射, 提升光的均勻度。
2)控制掃描條形光的開合:晶圓上曝光單元的所有位置需要接受等量的光,因此掃描的條形光必須是能開合的。

3)調(diào)節(jié)光形狀, 需要用到光瞳整形技術(shù):不同的照明方式,比如圓形、環(huán)形、二級、四級光源下,光刻機(jī)分辨率不同。例如:光穿過掩膜版上的圖案時會產(chǎn)生衍射效應(yīng), 線寬越小,衍射角度越大,1階衍射光超過投影物鏡外就無法成像。如果將點光的形狀改成環(huán)狀光或其他形狀, 1階衍射光就可以被收進(jìn)物鏡且圖像對比度清晰。
圖表52:衍射光無法成像圖片
圖表53:環(huán)形光成像圖片
光瞳整形單元是照明系統(tǒng)中技術(shù)難度較大的部件, 主要技術(shù)有:基于衍射光學(xué)元件(DOE) 的光瞳整形技術(shù)和基于微反射鏡陣列(MMA) 的自由光瞳整形技術(shù)。
衍射光學(xué)元件(DOE) 的光瞳整形:光瞳整形單元主要包括衍射光學(xué)元件、變焦距傅里葉變換鏡組、錐形鏡組和光瞳補(bǔ)償器。衍射光學(xué)元件用于實現(xiàn)照明光瞳的角向調(diào)制, 傅里葉變換鏡組、錐形鏡組用于照明光瞳的徑向調(diào)制。缺點:1個衍射光學(xué)元件只能實現(xiàn)1種照明模式。

微反射鏡陣列(MMA)的自由光瞳整形:主要由能量均衡組件、 光束分割組件、 微反射鏡陣列和傅里葉變換鏡組組成。核心器件是微反射鏡陣列, 由數(shù)千個二維轉(zhuǎn)角連續(xù)可調(diào)的微反射鏡組成, 通過調(diào)整微反射鏡陣列的角位置分布可實現(xiàn)任意照明模式, ASML先進(jìn)機(jī)型中較多使用自由光瞳整形技術(shù)。

圖表54:基于衍射光學(xué)元件的光瞳整形技術(shù)圖片
圖表55:基于微反射鏡陣列的光瞳整形技術(shù)圖片

投影物鏡系統(tǒng):精準(zhǔn)成像,對線寬起重要作用

投影物鏡是精準(zhǔn)成像的關(guān)鍵:投影物鏡要將照明模組****出的1階衍射光收進(jìn)物鏡內(nèi),再把掩膜版上的電路圖案縮小,聚焦成像在晶圓上,并且還要補(bǔ)償光學(xué)誤差。投影物鏡主要由多枚鏡片組成。隨著分辨率要求不斷提高, 光刻機(jī)投影物鏡結(jié)構(gòu)越來越復(fù)雜,對光學(xué)材料、光學(xué)加工、光學(xué)鍍膜等要求達(dá)到目前工業(yè)水平的極限, 是光刻機(jī)中技術(shù)壁壘最高的零部件之一。
投影物鏡的結(jié)構(gòu)型分為折射式和折反式:1) 折射式:光學(xué)元件旋轉(zhuǎn)對稱并沿著同一個光軸對準(zhǔn), 視場位于光軸中央, 結(jié)構(gòu)簡單易于裝調(diào);2) 折反式(NA>1.1) :反射鏡有著正光焦度和負(fù)值場曲, 不依賴傳統(tǒng)“腰肚” 結(jié)構(gòu), 使用較少數(shù)量和較小口徑的光學(xué)元件滿足對場曲的校正在一定物鏡尺寸限制內(nèi)實現(xiàn)更大的NA。

物鏡特點是直徑大、鏡片多、鏡片可動:1)物鏡直徑大:ASML DUV光刻機(jī)中的先進(jìn)機(jī)種的投影物鏡直徑大于40厘米,增加投影物鏡的直徑可以提高數(shù)值孔徑, 進(jìn)而提高光刻機(jī)分辨率。2)多片透鏡組合:ASML DUV光刻機(jī)投影物鏡的高度超過1米,鏡片數(shù)量超過15片。和相機(jī)一樣,單個透鏡的光學(xué)特性會導(dǎo)致圖像失真, 需要組合透鏡來修正圖像形變。3)可動鏡片:用運動著的鏡片來消除鏡頭組裝及光刻生產(chǎn)等過程中所產(chǎn)生的各種像差。可動鏡片覆蓋了垂直修正、傾斜修正和多向修正。

圖表56:典型折射式投影物鏡示意圖圖片
圖表57:折反式投影物鏡示意圖
投影物鏡技術(shù)難點:像差調(diào)節(jié)要求高、工藝精密。

1)像差調(diào)節(jié)要求高:波像差是實際波面與理想波面之間發(fā)生的偏離:光在介質(zhì)中傳播的時候,從物點發(fā)出的同心光束相當(dāng)于球面波,球面波經(jīng)過光學(xué)系統(tǒng)的時候,其曲率發(fā)生改變。如果是理想的光學(xué)系統(tǒng),它會形成另外一個球面波。但在實際的光學(xué)系統(tǒng),會受到投影物鏡自身材料、特性、厚度、粗糙度、環(huán)境等因素的影響,經(jīng)過投影物鏡的出射,波面會發(fā)生變形。實際波面與理想波面之間發(fā)生的偏離就是波像差。波像差直接影響光刻機(jī)成像質(zhì)量、光刻分辨率,因此光刻機(jī)的投影物鏡系統(tǒng)需要對像差像差進(jìn)行校正。
圖表58:像差示意圖圖片
圖表59:光刻機(jī)成像過程圖片
1) 像差調(diào)節(jié)要求高:
為了更好的調(diào)節(jié)像差, 物鏡發(fā)展趨勢為:從“雙腰”到“單腰” 、 引入非球面鏡片與反射式鏡片。

“雙腰” 到“單腰” :為了實現(xiàn)場曲的矯正,投影物鏡采用的都是“腰肚” 式結(jié)構(gòu)。最初系統(tǒng)的結(jié)構(gòu)依次為正組, 負(fù)組,正組,負(fù)組,正組,形成“腰肚”,隨著非球面數(shù)量的增加,雙腰結(jié)構(gòu)結(jié)構(gòu)逐漸從“ 1.5腰結(jié)構(gòu)” 變?yōu)椤皢窝Y(jié)構(gòu)” ,光學(xué)元件數(shù)減少。
引入非球面鏡片:NA大于0.75時,需引入非球面鏡片。

原因:一方面, 如果采用全球面結(jié)構(gòu)形式,光學(xué)元件的孔徑尺寸及體積隨著 NA 的增加急劇增加;另一方面, 物鏡投影物鏡 NA 增加,分辨率增強(qiáng),成像質(zhì)量要求也進(jìn)一步提高,采用全球面光學(xué)系統(tǒng),設(shè)計復(fù)雜度隨之增加。

引入反射式鏡片:NA 大于1.1時, 需采用折反式投影光刻物鏡。加入凹面反射元件。凹面有正的光焦度,對場曲的貢獻(xiàn)是負(fù)值, 凹面鏡能較好的矯正場曲。

ASML DUV高端投影物鏡的像差 ≤2nm。高端單反鏡頭像差最多達(dá)到200+nm,而ASML DUV高端投影物鏡的像差 ≤2nm,因此光刻機(jī)鏡片的平整度要求非常高,同時物鏡內(nèi)還需要可動鏡片,垂直、傾斜和多向修正鏡頭組裝及生產(chǎn)過程中產(chǎn)生的像差,還要盡量消除光損失產(chǎn)生的熱量。
2)工藝精密:光刻機(jī)所要求的鏡面光潔度非常高,需要采用精度最高的打磨機(jī)和最細(xì)的鏡頭磨料,此外還需要頂級的技術(shù)工人。在光學(xué)鏡頭的生產(chǎn)工序中,僅CCOS的拋光就有小磨頭拋光、應(yīng)力盤拋光、磁流變拋光、離子束拋光等超精密拋光高難度工序。蔡司生產(chǎn)的最新一代EUV光刻機(jī)反射鏡最大直徑1.2米,面形精度峰谷值0.12納米, 表面粗糙度20皮米(0.02納米),達(dá)到了原子級別的平坦。

圖表60:投影物鏡與高端單反鏡頭像素差圖片
圖表61:蔡司物鏡參數(shù)圖片
雙工作臺系統(tǒng):精確對準(zhǔn)+光刻機(jī)產(chǎn)能的關(guān)鍵
光刻機(jī)雙工作臺由兩個工件臺組成, 兩個工件臺同時獨立工作,負(fù)責(zé)完成步進(jìn)運動、曝光掃描、對準(zhǔn)掃描、上下硅片等功能。
雙工作臺工作流程:工作臺分為1號和2號,1)2號工件臺處于物鏡下方,對晶圓進(jìn)行調(diào)平調(diào)焦、曝光、刻片等操作,與此同時1號臺進(jìn)行待刻晶圓的上片下片;2)當(dāng)2號臺刻片完成,工件臺系統(tǒng)進(jìn)行換臺,1號工件臺換到物鏡下方進(jìn)行刻片,2號臺進(jìn)行上片下片, 如此循環(huán)往復(fù)實現(xiàn)光刻機(jī)的高效生產(chǎn)。特點:雙工作臺較原先的單工作臺效率提高了35%,精度提高10%,有效提高了光刻機(jī)的產(chǎn)能。

圖表62:雙工作臺圖片
圖表63:雙工作臺系統(tǒng)結(jié)構(gòu)及換臺過程圖片
雙工作臺技術(shù)難點:需要速度快、對準(zhǔn)精度高、運動穩(wěn)定。

1)速度快:目前最先進(jìn)的DUV光刻機(jī),晶圓的光刻生產(chǎn)速度為300片/h,1個影像單元的曝光成像約0.1秒, 實現(xiàn)這個成像速度,晶圓平臺需以高達(dá)7g的加速度高速移動。7g的加速度意味著從0加速到100km/h只要約0.4秒,F(xiàn)1賽車需要2.5秒。
2)精確對準(zhǔn):面臨的難點有巨大偏移——芯片制造需一層層向上疊加,每次重疊的誤差稱為套刻精度,要求是1-2nm。晶圓從傳送模組到晶圓平臺上,會產(chǎn)生機(jī)械誤差,一般是數(shù)千納米的偏移。高低差——投影物鏡太大, 對焦點上下可接受的影像范圍小于100nm。而晶圓表面高低不平,累加晶圓平臺的高低差,晶圓表面不同位置的光阻高度可相差500-1000nm。因此每次曝光前,須針對每片晶圓做精密量測,截取到晶圓每一個區(qū)塊納米等級的微小誤差,在曝光階段實時校正。

3)運動穩(wěn)定:穩(wěn)定運動——利用balance mass吸收平衡晶圓平臺所施加于機(jī)座的反作用力,使整座機(jī)臺完全靜止。穩(wěn)定定位——晶圓要在完成量測后,要在極短的曝光時間內(nèi)完美定位,ASML光刻機(jī)可達(dá)到精度為0.06納米的傳感器確認(rèn)精準(zhǔn)定位。穩(wěn)定運作——晶圓平臺為減少磨損采用懸浮的移動方式,達(dá)成極高速的運動和持久穩(wěn)定的運作。
圖表64:TWINSCAN雙工件臺結(jié)構(gòu)示意圖圖片
圖表65:晶圓平臺圖片
為了確保工件臺穩(wěn)定定位、精確對準(zhǔn)。需要用到光柵尺、 TIS傳感器等。

光柵尺用于工作臺的定位。位移測量傳感器有激光干涉儀和光柵尺,由于激光干涉儀對環(huán)境敏感性較高,目前高端機(jī)型較多使用光柵尺。原理:激光光源輸出頻差穩(wěn)定的線偏振方向相互垂直的雙頻激光,一束作為參考差頻信號由光電探測電路接收,另一束傳輸至光柵尺,光柵尺基于光柵多普勒效應(yīng)和光學(xué)干涉原理實現(xiàn)位移測量。
TIS系統(tǒng)用于掩膜工作臺與晶圓工作臺之間的對準(zhǔn)。TIS系統(tǒng)包括①設(shè)置在掩膜工作臺上的TIS標(biāo)識(透光的密集線條);②晶圓工作臺上的TIS傳感器。TIS標(biāo)識通過光學(xué)成像透鏡系統(tǒng),投射在晶圓工作臺。晶圓工作臺上的TIS傳感器測出TIS標(biāo)識像強(qiáng)度的空間分布,從而計算出掩膜工作臺上TIS標(biāo)識相對于晶圓工作臺的位置。TIS系統(tǒng)還可以進(jìn)一步確定投影透鏡系統(tǒng)的像差和成像系統(tǒng)的畸變。

圖表66:光柵尺測量系統(tǒng)示意圖圖片
圖表67:TIS對準(zhǔn)系統(tǒng)示意圖圖片


*博客內(nèi)容為網(wǎng)友個人發(fā)布,僅代表博主個人觀點,如有侵權(quán)請聯(lián)系工作人員刪除。



關(guān)鍵詞: 長文

技術(shù)專區(qū)

關(guān)閉