新聞中心

EEPW首頁 > 消費(fèi)電子 > 設(shè)計(jì)應(yīng)用 > 出租車計(jì)費(fèi)器的EOA設(shè)計(jì)與實(shí)現(xiàn)

出租車計(jì)費(fèi)器的EOA設(shè)計(jì)與實(shí)現(xiàn)

作者: 時(shí)間:2010-09-25 來源:網(wǎng)絡(luò) 收藏

摘要:以現(xiàn)場(chǎng)可編程邏輯器件()為設(shè)計(jì)載體,以硬件描述語言(VHDL)為主要表達(dá)方式,以QuartusⅡ開發(fā)軟件和GW48EDA開發(fā)系統(tǒng)為設(shè)計(jì)工具,給出了一種出租車計(jì)費(fèi)器的工作原理和軟硬件實(shí)現(xiàn)方法。同時(shí)對(duì)該出租車計(jì)費(fèi)器進(jìn)行時(shí)序仿真和硬件驗(yàn)證。
關(guān)鍵詞:出租車計(jì)費(fèi)器;;VHDL;QuartusⅡ

本文引用地址:http://butianyuan.cn/article/166527.htm

O 引言
隨著人民生活水平的提高。以車代步已成為很多人的出行方式;而在選擇交通工具時(shí),很多人傾向于方便快捷的出租車?;贓DA技術(shù)設(shè)計(jì)的出租車計(jì)費(fèi)器,以其價(jià)格便宜、安全可靠、使用方便等優(yōu)點(diǎn),而受到人們的普遍關(guān)注。本文以現(xiàn)場(chǎng)可編程邏輯器件()為設(shè)計(jì)載體,以硬件描述語言(VHDE)為主要表達(dá)方式,以QuartusⅡ開發(fā)軟件和GW48EDA開發(fā)系統(tǒng)為設(shè)計(jì)工具設(shè)計(jì)的出租車計(jì)費(fèi)器,能夠?qū)崿F(xiàn)起步定價(jià)、行駛計(jì)費(fèi)和等待計(jì)費(fèi)等功能,完全能夠滿足出租車計(jì)費(fèi)的要求。

l 出租車計(jì)費(fèi)器的主要功能
出租車計(jì)費(fèi)器能夠?qū)崿F(xiàn)的功能主要包括計(jì)費(fèi)和顯示兩項(xiàng)功能。
一般費(fèi)用的計(jì)算是按行駛的里程和等待時(shí)間收費(fèi)。設(shè)出租車的起價(jià)為7.00元,當(dāng)里程小于3km時(shí),按起價(jià)計(jì)算費(fèi)用;當(dāng)里程大于等于3 km時(shí)按每公里2.5元計(jì)費(fèi)。等待累計(jì)時(shí)間大于等于4min時(shí),按每分鐘1.8元計(jì)費(fèi)。所以,總費(fèi)用應(yīng)按下式計(jì)算:
總費(fèi)用=起始費(fèi)用+(里程-3 km)×里程單價(jià)+(等候時(shí)間-4 min)×等候單價(jià)
顯示功能的主要顯示行驅(qū)里程、等候時(shí)間和總費(fèi)用。其中顯示汽車行駛里程用兩位數(shù)字顯示,顯示方式為“××”,單位為km。計(jì)程范圍為0~99 km,計(jì)程分辨率為1 km;而顯示等候時(shí)間用兩位數(shù)字顯示分鐘,顯示方式為“XX”。計(jì)時(shí)范圍為0~59 min,計(jì)時(shí)分辨率為1 mi-n;最后顯示總費(fèi)用用四位數(shù)字顯示,顯示方式為“XXX.X”,單價(jià)為元。計(jì)價(jià)范圍為0~999.9元。計(jì)價(jià)分辨率為0.1元。

2 計(jì)費(fèi)器結(jié)構(gòu)和工作原理
2.1 出租車計(jì)費(fèi)器的整體結(jié)構(gòu)
出租車計(jì)費(fèi)器的整體結(jié)構(gòu)如圖1所示,它包括分頻器模塊、計(jì)量模塊、計(jì)價(jià)模塊和整數(shù)轉(zhuǎn)換BCD模塊等。

19a.JPG


2.2 分頻模塊的設(shè)計(jì)
鑒于GW48EDA開發(fā)系統(tǒng)僅有兩個(gè)時(shí)鐘輸入端口,而系統(tǒng)需要三個(gè)時(shí)鐘,且各個(gè)時(shí)鐘信號(hào)的頻率不同,故需要對(duì)已有的時(shí)鐘信號(hào)進(jìn)行分頻操作。

分頻器相關(guān)文章:分頻器原理

上一頁 1 2 下一頁

關(guān)鍵詞: FPGA

評(píng)論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉