新聞中心

EEPW首頁 > EDA/PCB > 設(shè)計應(yīng)用 > 基于FPGA的實時視頻圖像采集與顯示系統(tǒng)的設(shè)計與實

基于FPGA的實時視頻圖像采集與顯示系統(tǒng)的設(shè)計與實

作者: 時間:2013-10-23 來源:網(wǎng)絡(luò) 收藏

SAA7121芯片主要由I2C總線控制單元、數(shù)據(jù)管理單元、編碼單元、同步時鐘單元和D/A轉(zhuǎn)換器組成。主要通過I2C總線對芯片的內(nèi)部寄存器進(jìn)行設(shè)置,也就是完成對芯片的工作屬性的設(shè)置。輸出數(shù)據(jù)的格式有兩種:復(fù)合輸入信號(CVBS)或分離信號,輸出的制式可以為NTSC制式或PAL制式,支持隔行掃描和連續(xù)掃描方式,并具有Y、C和CVBS三個信號的數(shù)膜轉(zhuǎn)換器。

本文引用地址:http://www.butianyuan.cn/article/189495.htm

c.JPG


SAA7121主要由數(shù)據(jù)管理模塊,總線接口模塊,編碼模塊,D/A模塊組成。MP0~MP7是MPEG端口,輸入CCIR.656的Cb—Y—Cr的編碼數(shù)據(jù);RCV1為柵控制端,輸入或輸出各種類型的信號;LLC為線性鎖定時鐘,為芯片提供27 MHz的主頻;CVBS為模擬CVBS信號輸出,C為模擬色度信號輸出,Y為模擬亮度輸出。SAA7121通過設(shè)置內(nèi)部寄存器,對其進(jìn)行初始化。SAA7121的芯片結(jié)構(gòu)圖如圖3所示。

2 系統(tǒng)軟件
通過在開發(fā)平臺上,使用QuartusⅡ9.0對系統(tǒng)進(jìn)行硬件語言編程。本系統(tǒng)主要由SAA7113H解碼芯片初始化模塊、SAA7121編碼芯片初始化模塊、與顯示模塊組成。
2.1 SAA7113H初始化模塊
SAA7113H的初始化模塊主要分為I2C控制核模塊,I2C命令模塊,ROM模塊。I2C控制核心模塊完成數(shù)據(jù)的并/串轉(zhuǎn)換以及將命令轉(zhuǎn)換位為I2C總線的SCL/SDA信號線的啟動、停止、寫、應(yīng)答等具體操作的時序關(guān)系;I2C命令模塊則是通過狀態(tài)機(jī)(FSM)的方式進(jìn)行I2C接口間狀態(tài)的轉(zhuǎn)換以及從ROM模塊中將配置好的數(shù)據(jù)輸出給I2C控制核模塊,ROM模塊存儲的是配置好的SAA7113H的數(shù)據(jù)。當(dāng)啟動I2C開始配置時,從ROM中讀取配置的內(nèi)容送出即可。
2.2 SAA7121初始化模塊
SAA7121編碼芯片的初始化和SAA7113H有些類似,都是通過I2C總線來控制芯片內(nèi)部寄存器初始化的目的。SAA7113H解碼芯片中的I2C控制模塊分為核心模塊和命令模塊,而SAA7121編碼芯片則只包含一個I2C模塊。
在SAA7121的寄存器配置模塊中,首先,上電復(fù)位,確保SDA,SCL為高電平,隨后將SDA從高電平拉到低電平,發(fā)出I2C總線起始信號,開始I2C總線操作。接著向I2C總線寫芯片的從地址,SAA7121芯片地址也與輸入引腳SA電平及讀寫操作有關(guān)。SAA7121芯片的輸入引腳SA是接地的,因此芯片地址為SA低電平時的地址。在寫入芯片地址后,再讀應(yīng)答,并確認(rèn)有應(yīng)答時,再寫寄存器的子地址。同樣在確認(rèn)有應(yīng)答時,再寫入寄存器數(shù)據(jù)通過循環(huán)將要配置寄存器的所有數(shù)據(jù)依次寫入到I2C總線上。最后,在全部數(shù)據(jù)寫完后,發(fā)出I2C總線中止信號。
2.3 與顯示模塊
系統(tǒng)中最核心的地方就是與顯示,包括FPGA采集與存儲模塊,F(xiàn)PGA顯示模塊兩塊內(nèi)容。視頻圖像采集模塊的主要作用是接收來自CCD攝像頭的模擬信號,經(jīng)視頻輸入處理芯片SAA7113H,輸出ITU656 4:2:2格式的數(shù)字圖像。完成視頻信號從模擬信號到數(shù)字信號的轉(zhuǎn)換,最終提供后端可以處理的數(shù)字視頻數(shù)據(jù),存儲到SDRAM中。

3 功能與測試
系統(tǒng)連接圖如圖4所示。通過對系統(tǒng)進(jìn)行編程,完成系統(tǒng)的軟件,按照FPGA的流程完整的測試了系統(tǒng)的可行性,包括:系統(tǒng)的輸入輸出環(huán)路測試(能否的顯示圖像),系統(tǒng)的按鍵調(diào)控亮度測試(解碼芯片功能)。測試圖如圖5所示。

d.JPG



4 結(jié)語
結(jié)合國內(nèi)圖像采集處理系統(tǒng)的現(xiàn)狀,本在硬件上采用FPGA作為核心運算器來實現(xiàn)圖像的采集、存儲和顯示;在硬件實現(xiàn)上使用FPGA硬件描述語言Verilog對系統(tǒng)各個功能模塊進(jìn)行設(shè)計。采用FPGA可編程邏輯設(shè)計技術(shù)實現(xiàn)視頻圖像采集與不僅擁有極大的靈活性,可編程性,而且也加快了圖像采集與顯示的速度。由于本文設(shè)計的系統(tǒng)中未涉及到復(fù)雜的算法,而是用專用的編碼芯片代替了,所以在本文中,圖像的算法未能加入到系統(tǒng)中。下一步的工作就是學(xué)習(xí)將高端FPGA芯片運用到圖像處理技術(shù)當(dāng)中去,結(jié)合網(wǎng)絡(luò)技術(shù),研究更新的視頻圖像采集與顯示技術(shù)。

fpga相關(guān)文章:fpga是什么


晶振相關(guān)文章:晶振原理
數(shù)字濾波器相關(guān)文章:數(shù)字濾波器原理

上一頁 1 2 下一頁

評論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉