新聞中心

EEPW首頁(yè) > EDA/PCB > 設(shè)計(jì)應(yīng)用 > 基于FPGA的按鍵彈跳消除模塊的研究與應(yīng)用

基于FPGA的按鍵彈跳消除模塊的研究與應(yīng)用

作者: 時(shí)間:2012-10-17 來(lái)源:網(wǎng)絡(luò) 收藏

在數(shù)字電路設(shè)計(jì)中經(jīng)常用到。的彈跳現(xiàn)象是數(shù)字系統(tǒng)設(shè)計(jì)中存在的客觀問(wèn)題。是機(jī)械觸點(diǎn),當(dāng)接觸點(diǎn)斷開(kāi)或閉合時(shí)會(huì)產(chǎn)生抖動(dòng)。為使每一次按鍵只做一次響應(yīng),就必須去除抖動(dòng)。本文對(duì)按鍵的抖動(dòng)信號(hào)進(jìn)行了分析,并通過(guò)計(jì)數(shù)器的方式完成了消除抖動(dòng)電路的設(shè)計(jì)。把該應(yīng)用到按鍵控制LCD顯示的系統(tǒng)中,并在Memec代理的Virtex-4 MB系統(tǒng)實(shí)驗(yàn)板上實(shí)現(xiàn)了該系統(tǒng)。消抖電路的效果良好,按鍵控制LCD顯示結(jié)果正常。

本文引用地址:http://butianyuan.cn/article/189846.htm

按鍵開(kāi)關(guān)是電子設(shè)備人機(jī)交互的主要器件之一。按鍵大多是機(jī)械式開(kāi)關(guān)結(jié)構(gòu),由于機(jī)械式開(kāi)關(guān)的核心部件為彈性金屬簧片,因而在開(kāi)關(guān)切換的瞬間會(huì)在接觸點(diǎn)出現(xiàn)來(lái)回彈跳的現(xiàn)象。對(duì)于靈敏度比較高的電路,這種彈跳現(xiàn)象引起的信號(hào)抖動(dòng)會(huì)造成誤動(dòng)作而影響到系統(tǒng)的正確性。因此,我們需要設(shè)計(jì)按鍵電路來(lái)去除抖動(dòng).。

1.電路的原理和功能

按鍵開(kāi)關(guān)的典型連線分為低電平有效和高電平有效,本文的是低電平有效。

機(jī)械開(kāi)關(guān)的抖動(dòng)存在三種情況:按下時(shí)有抖動(dòng),松開(kāi)時(shí)也有抖動(dòng);按下時(shí)有抖動(dòng),松開(kāi)時(shí)無(wú)抖動(dòng);按下時(shí)無(wú)抖動(dòng),松開(kāi)時(shí)有抖動(dòng)。機(jī)械開(kāi)關(guān)的抖動(dòng)波形、抖動(dòng)次數(shù)、抖動(dòng)時(shí)間都是隨機(jī)的,并不是每次都會(huì)產(chǎn)生抖動(dòng)。

不同開(kāi)關(guān)的最長(zhǎng)抖動(dòng)時(shí)間也不同。抖動(dòng)時(shí)間的長(zhǎng)短和機(jī)械開(kāi)關(guān)特性有關(guān),一般為5ms到10ms。但是,某些開(kāi)關(guān)的抖動(dòng)時(shí)間長(zhǎng)達(dá)20ms,甚至更長(zhǎng)。所以,在具體設(shè)計(jì)中要具體分析,根據(jù)實(shí)際情況來(lái)調(diào)整設(shè)計(jì)。

彈跳現(xiàn)象以及如圖1 所示,雖然只是按下按鍵一次后放掉,結(jié)果在按鍵信號(hào)穩(wěn)定先后竟出現(xiàn)了多個(gè)段脈沖,如果將這樣的信號(hào)直接送到計(jì)數(shù)器之類的時(shí)序電路,結(jié)果將可能發(fā)生計(jì)數(shù)超過(guò)一次以上的誤動(dòng)作,從而誤以為鍵盤按了多次。因此,必須加上彈跳消除電路,除去短脈沖,避免誤操作的發(fā)生。

基于FPGA的按鍵彈跳消除電路的研究與應(yīng)用

2.按鍵彈跳消除的實(shí)現(xiàn)

為了使按鍵彈跳消除模塊的更加簡(jiǎn)潔,并且移植性好,在此用計(jì)數(shù)器的方式實(shí)現(xiàn)消除按鍵抖動(dòng)的功能。

2.1 計(jì)數(shù)器模值的計(jì)算

計(jì)數(shù)器模值的確定是按鍵彈跳消除效果的關(guān)鍵問(wèn)題,如果值過(guò)大,即采樣時(shí)間過(guò)長(zhǎng),就會(huì)漏掉正確的信號(hào);如果值過(guò)小,采樣時(shí)間過(guò)短,則會(huì)將毛刺誤認(rèn)為是輸入信號(hào)。

計(jì)數(shù)器的模值n根據(jù)抖動(dòng)信號(hào)的脈沖寬度和采樣信號(hào)clk的周期大小決定。根據(jù)一般人按鍵的速度小于10Hz(每秒小于10次),所以按鍵時(shí)間大于100ms,按占空比50%計(jì)算,按下的時(shí)間大于50ms。按這種約定,我們認(rèn)為按下的時(shí)間小于50ms的為抖動(dòng)信號(hào),按下的時(shí)間大于50ms的是按鍵信號(hào)。即n=50ms/采樣脈沖信號(hào)周期,這樣就可以把按下的時(shí)間小于50ms的抖動(dòng)信號(hào)濾掉。

在此,根據(jù)實(shí)驗(yàn)板提供的系統(tǒng)時(shí)鐘來(lái)確定實(shí)際需要的模值。實(shí)驗(yàn)板提供的系統(tǒng)時(shí)鐘為100mHz,通過(guò)分頻后得到25mHz的時(shí)鐘,50ms*25mHz得到count的模值為21’h1312D0。采用這個(gè)模值得到的消抖時(shí)間大約為50ms,符合要求。


上一頁(yè) 1 2 3 下一頁(yè)

關(guān)鍵詞: FPGA 按鍵 彈跳消除 模塊

評(píng)論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉