新聞中心

EEPW首頁(yè) > EDA/PCB > 設(shè)計(jì)應(yīng)用 > 基于FPGA的出租車計(jì)價(jià)系統(tǒng)設(shè)計(jì)

基于FPGA的出租車計(jì)價(jià)系統(tǒng)設(shè)計(jì)

作者: 時(shí)間:2012-06-25 來(lái)源:網(wǎng)絡(luò) 收藏


di_led數(shù)碼顯示譯碼模塊,將輸入信號(hào)d[3..0]轉(zhuǎn)換為q[6..0],即g,f,e,d,c,b,a七段譯碼輸出(高電平有效),3F對(duì)應(yīng)為0,仿真波形圖如圖8所示。

f.JPG


由于采用動(dòng)態(tài)掃描,主要是根據(jù)人視覺(jué)暫留現(xiàn)象,一般影像在人眼中保留0.1~0.5 s左右,74LS138的輸出碼依次選通8個(gè)數(shù)碼管。只要頻率夠高,依次點(diǎn)亮8個(gè)數(shù)碼管時(shí),給人視覺(jué)是同時(shí)點(diǎn)亮。
3.2 總體電路的仿真結(jié)果
通過(guò)對(duì)整個(gè)設(shè)計(jì)系統(tǒng)的仿真分析,結(jié)果如圖9所示,輸出依次是3F 7D 27.5B 66 3F 3F 3F,其中總價(jià)格為3F7D27.5B(即67.2元),里程數(shù)為663F(即40km),等待時(shí)間為3F3F(即0 min),8+(40-3)×1.6=67.2元,仿真結(jié)果正確。

h.JPG



4 結(jié)語(yǔ)
通過(guò)仿真驗(yàn)證表明,本文所設(shè)計(jì)的系統(tǒng)能動(dòng)態(tài)顯示行駛的里程、等待時(shí)間和計(jì)費(fèi)數(shù)目等,符合預(yù)定的設(shè)計(jì)功能要求。但設(shè)計(jì)中對(duì)里程計(jì)數(shù)精度不高,若要提高精度,需根據(jù)設(shè)計(jì)要求設(shè)置取樣里程的脈沖,在計(jì)量(jiliang)模塊的輸入頻率中要做相應(yīng)的修改,當(dāng)計(jì)費(fèi)標(biāo)準(zhǔn)發(fā)生變化時(shí),也可以通過(guò)修改VHDL源程序達(dá)到要求,另外,還可以擴(kuò)展語(yǔ)音播報(bào)或票據(jù)打印等附加功能,此系統(tǒng)的設(shè)計(jì)體現(xiàn)了設(shè)計(jì)的自頂向下的設(shè)計(jì)思想,基于的設(shè)計(jì)靈活性高、功耗低、集成度高,具有廣闊的市場(chǎng)前景。


上一頁(yè) 1 2 3 4 下一頁(yè)

評(píng)論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉