新聞中心

EEPW首頁(yè) > EDA/PCB > 設(shè)計(jì)應(yīng)用 > 基于FPGA的MSK調(diào)制器設(shè)計(jì)與實(shí)現(xiàn)

基于FPGA的MSK調(diào)制器設(shè)計(jì)與實(shí)現(xiàn)

作者: 時(shí)間:2012-04-26 來(lái)源:網(wǎng)絡(luò) 收藏

摘要:介紹了信號(hào)的優(yōu)點(diǎn),并分析了其實(shí)現(xiàn)原理,提出一種高性能數(shù)字調(diào)制器的實(shí)現(xiàn)方案;采用自頂向下的設(shè)計(jì)思想,將系統(tǒng)分成串/并變換器、差分編碼器、數(shù)控振蕩器、移相器、乘法電路和加法電路等6大模塊,重點(diǎn)論述了串/并變換、差分編碼、數(shù)控振蕩器的實(shí)現(xiàn),用原理圖輸入、VHDL語(yǔ)言設(shè)計(jì)相結(jié)合的多種設(shè)計(jì)方法,分別實(shí)現(xiàn)了各模塊的具體設(shè)計(jì),并給出了其在QuartusII環(huán)境下的仿真結(jié)果。結(jié)果表明,基于調(diào)制器,設(shè)計(jì)簡(jiǎn)單,便于修改和調(diào)試,性能穩(wěn)定。
關(guān)鍵詞:MSK;;差分編碼器;數(shù)控振蕩器

在QPSK調(diào)制技術(shù)中,假定每個(gè)符號(hào)的包絡(luò)都是矩形,已調(diào)信號(hào)的包絡(luò)是恒定的,此時(shí)無(wú)論基帶信號(hào)還是已調(diào)信號(hào)其頻譜都是無(wú)限的。但是實(shí)際的信道總是有一定的帶寬的,因此在發(fā)送QIXSK信號(hào)時(shí)通常要通過(guò)帶通濾波器進(jìn)行限帶。限帶后的信號(hào)已經(jīng)不能再保持包絡(luò)恒定,相鄰符號(hào)間發(fā)生相移時(shí),限帶后包絡(luò)會(huì)明顯變小,甚至出現(xiàn)包絡(luò)為0的現(xiàn)象。這種現(xiàn)象在非線性信道中是不希望出現(xiàn)的,雖然經(jīng)過(guò)非線性放大器能夠減弱包絡(luò)起伏,但是這樣卻使信號(hào)的頻譜擴(kuò)展,其旁瓣會(huì)干擾鄰近頻道的信號(hào),造成限帶時(shí)的帶通濾波器失去作用。
正是為了解決這個(gè)問(wèn)題,我們引入了在非線性限帶信道中使用的恒包絡(luò)調(diào)制方法——最小移頻鍵控(MSK)調(diào)制技術(shù)。

1 實(shí)現(xiàn)原理
MSK就是一種能產(chǎn)生恒定包絡(luò)、連續(xù)相位信號(hào)的調(diào)制方式。它是二進(jìn)制連續(xù)相位移頻鍵控(CPFSK)的一種特殊情況,即調(diào)制指數(shù)(移頻系數(shù))h=0.5,相位在碼元轉(zhuǎn)換時(shí)刻是連續(xù)的。MSK信號(hào)可表示為:
d.JPG
式中,φk(t)為附加相位函數(shù),假設(shè)初始相位為φk(0);ωc為載波角頻率;Ts為碼元間隔;f.JPG為頻偏;φk為第k個(gè)碼元中的相位常數(shù);ak為第k個(gè)碼元數(shù)據(jù);ak取值為±1。這表明,MSK信號(hào)的相位是分段線性變化的,同時(shí)在碼元轉(zhuǎn)換時(shí)刻相位仍是連續(xù)的,所以有:
g.JPG
由式(5)和MSK相位網(wǎng)格圖可看出,φk為截矩,其值為π的整數(shù)倍,利用三角等式并注意到sinφk=0,有:
h.JPG
根據(jù)以上分析,可以得出MSK調(diào)制器的框圖如圖1所示。

本文引用地址:http://www.butianyuan.cn/article/190460.htm

i.JPG


上一頁(yè) 1 2 3 4 下一頁(yè)

關(guān)鍵詞: FPGA MSK 制器設(shè)計(jì)

評(píng)論


相關(guān)推薦

技術(shù)專(zhuān)區(qū)

關(guān)閉