新聞中心

EEPW首頁 > EDA/PCB > 設(shè)計(jì)應(yīng)用 > 基于FPGA的AMLCD控制器的設(shè)計(jì)

基于FPGA的AMLCD控制器的設(shè)計(jì)

作者: 時(shí)間:2011-12-29 來源:網(wǎng)絡(luò) 收藏

飛機(jī)座艙圖形顯示系統(tǒng)已發(fā)展到第六代,即采用有源矩陣彩色液晶顯示器(ActiveMatrixLiquidCrystalDisplay)。當(dāng)前高分辨率的軍用顯示模塊還只能依靠進(jìn)口,且控制電路板須安裝在該顯示模塊提供的機(jī)箱內(nèi)。這種安裝方式對(duì)控制電路板的尺寸要求高,要求盡可能減少所設(shè)計(jì)電路板的尺寸。在筆者設(shè)計(jì)的新一代飛機(jī)座艙圖形顯示系統(tǒng)中使用了大規(guī)?,F(xiàn)場(chǎng)可編程門陣列(FieldProgrammableGataArray),這種設(shè)計(jì)方式可以將以前需要多塊集成芯片的電路設(shè)計(jì)到一塊大模塊可編程邏輯器件中,大大減少了電路板的尺寸,增強(qiáng)了系統(tǒng)的可靠性和設(shè)計(jì)的靈活性。本文詳細(xì)介紹了已在實(shí)際項(xiàng)目中應(yīng)用的基于的圖形式AMLCD設(shè)計(jì),這種設(shè)計(jì)方法稍作修改即可應(yīng)用于常見VGA視頻接口電路的設(shè)計(jì)。

本文引用地址:http://www.butianyuan.cn/article/190866.htm

1圖形顯示系統(tǒng)簡(jiǎn)介

圖1是飛機(jī)座艙圖形顯示系統(tǒng)結(jié)構(gòu)框圖。圖中處理器采用AD公司的ADSP21061芯片,AMLCD采用Korry公司的KDM710全彩色液晶顯示模塊,該模塊為5×5英寸、600×600分辨率彩色液晶顯示模塊,24位數(shù)字RGB輸入。兩個(gè)幀存A和B采用IDT公司的71V424高速異步靜態(tài)RAM,系統(tǒng)采用兩個(gè)幀存輪流操作的方法:當(dāng)DSP向其中一個(gè)幀存寫象素時(shí),由構(gòu)成的幀存將另一個(gè)幀存中的象素順序讀出送給AMLCD,反之亦然。圖形顯示系統(tǒng)通過IDT公司的71V04雙口RAM接收主機(jī)的顯示信息。圖1中的幀存和視頻控制器由Xilinx公司的SpartanII芯片XS2S50實(shí)現(xiàn)。

62.jpg

2KMD710顯示模塊

如圖1所示,美國(guó)Korry公司提供的KDM710全彩色液晶顯示模塊接口信號(hào)主要如下幾組:3個(gè)8位RGB數(shù)字信號(hào)、行同步信號(hào)HSYNC、場(chǎng)同步信號(hào)VSYNC、數(shù)據(jù)使能信號(hào)DATA_EN和點(diǎn)時(shí)鐘輸入DCLK。根據(jù)AMLCD數(shù)據(jù)手冊(cè)所需求的時(shí)序,確定掃描時(shí)序和相應(yīng)的時(shí)序參數(shù)如圖2所示。一般,圖形終端顯示器掃描制式與廣播電視的標(biāo)準(zhǔn)有點(diǎn)不同,須根據(jù)顯示模塊所提供的時(shí)間要求來確定掃描時(shí)序,其中的行場(chǎng)同步的前后肩,可以根據(jù)需要進(jìn)行微調(diào),一般為了防止每行的第一個(gè)象素丟失,要求行同步后肩C與行同步脈沖寬B盡量相等。圖2中的點(diǎn)時(shí)鐘為20MHz,行周期為650個(gè)時(shí)鐘周期,場(chǎng)周期為615個(gè)行周期(場(chǎng)頻為50Hz)。

63.jpg

3LCDVGA控制器設(shè)計(jì)

設(shè)計(jì)行場(chǎng)掃描時(shí)序,一般有兩種方式:查找表方式和編程邏輯方式。查找表方式主要由存儲(chǔ)芯片構(gòu)成,如SRAM、EPROM、PORM等。使用時(shí),先根據(jù)所要產(chǎn)生的時(shí)序在存儲(chǔ)單元寫入相應(yīng)的數(shù)值,查表時(shí)再從表內(nèi)讀出時(shí)應(yīng)存儲(chǔ)單元的數(shù)值,以形成掃描時(shí)序。掃描時(shí)序查找表分為行掃描時(shí)序查找表和場(chǎng)掃描時(shí)序查找表。場(chǎng)掃描時(shí)序查找表的輸入時(shí)鐘由行同步脈沖提供。用查找表形成時(shí)序的方法存在體積大、計(jì)算煩瑣的缺點(diǎn)。隨著大規(guī)模邏輯芯片的出現(xiàn),利用編程邏輯方法產(chǎn)生行場(chǎng)掃描時(shí)序是一個(gè)發(fā)展方向。這種方法具有電路簡(jiǎn)單、功能強(qiáng)、修改方便、可靠性高等優(yōu)點(diǎn)。圖3為L(zhǎng)CD控制器的框圖。

64.jpg

在本設(shè)計(jì)中,點(diǎn)時(shí)鐘DCLK由處理器DSP的系統(tǒng)時(shí)鐘40MHz經(jīng)數(shù)字鎖相環(huán)二分頻得到。點(diǎn)時(shí)鐘驅(qū)動(dòng)行時(shí)序生成器,產(chǎn)生圖2所示的行同步信號(hào)HS和行消隱信號(hào)HB。為避免毛刺,控制器設(shè)計(jì)采用同步設(shè)計(jì)方法,如圖3所示,行同步信號(hào)HS通過一個(gè)微分電路,產(chǎn)生一個(gè)點(diǎn)時(shí)鐘周期寬的場(chǎng)時(shí)序生成器使能信號(hào)。在使能信號(hào)有效時(shí),場(chǎng)時(shí)序生成器開始計(jì)數(shù),并產(chǎn)生場(chǎng)同步信號(hào)VS和場(chǎng)消隱信號(hào)VB。行消隱信號(hào)HB和場(chǎng)消隱信號(hào)VB相與后即為數(shù)據(jù)使能信號(hào)DATA_EN。該數(shù)據(jù)使能信號(hào)作為產(chǎn)生幀存地址計(jì)數(shù)器的計(jì)數(shù)使能,以保證DATA_EN信號(hào)為高時(shí),將象素送給AMLCD顯示。在DCLK的上升沿,幀存地址計(jì)數(shù)器加一,幀存SRAM經(jīng)過一段延時(shí)后,象素?cái)?shù)據(jù)出現(xiàn)在總線上。在DCLK的下降沿AMLCD將數(shù)據(jù)讀入。該LCD控制器的設(shè)計(jì)方法很容易用于VGA視頻接口。在VGA接口電路的設(shè)計(jì)中,不需點(diǎn)時(shí)鐘電路,只須將行同步信號(hào)與場(chǎng)同步信號(hào)輸出,將數(shù)據(jù)使能信號(hào)作為復(fù)合消隱信號(hào)輸入即可。產(chǎn)生行場(chǎng)掃描時(shí)序的VHDL描述如下:

entityseq_genis

port(clk_seq:instd_logic;

rst_seq:instd_logic;

lcd_hs_out : outstd_logic;

lcd_dataen : outstd_logic;

lcd_vs_out : outstd_logic;

pix_clk : outstd_logic);

endseq_gen;

architecturertl_seq_genofseq_genis

signallcd_hb:std_logic;

signallcd_hs:std_logic;

signallcd_vb:std_logic;

signallcd_vs:std_logic;

signalclken_vcount:std_logic;

begin

hcount:block

signalhcountreg:std_logic_vector(9downto0);

signalhz_temp:std_logic;

signallcd_hz:std_logic;

begin

process(clk_seq,lcd_hz)

begin

if(lcd_hz='1')then

hcountreg=(others=>'0');

elsifclk_seq'eventandclk_seq='1'then

hcountreg=hcountreg+1;

endif;

endprocess;

lcd_hb='0'whenhcountreg>=600andhcountreg650

else'1';

lcd_hs='0'whenhcountreg>=610andhcountreg630

else'1';

hz_temp='1'whenhcountreg=650else'0';

lcd_hz=hz_temporrst_seq;

endblockhcount;

diff:block

signalinputrega:std_logic;

signalinputregb:std_logic;

begin

process(clk_seq)

begin

ifclk_seq'eventandclk_seq='1'then

inputregb=inputrega;

inputrega=notlcd_hs;

endif;

endprocess;

clken_vcount=notinputregbandinputrega;

endblockdiff;

vcount:block

signalvcountreg:std_logic_vector(9downto0);

signalvz_temp:std_logic;

signallcd_vz:std_logic;

begin

process(clk_seq,lcd_vz)

begin

if(lcd_vz='1')then

vcountreg=(others=>'0');

elsifclk_seq'eventandclk_seq='1'then

ifclken_vcount='1'then

vcountreg=vcountreg+1;

endif;

endif;

endprocess;

lcd_vb='0'whenvcountreg>=600andvcountreg615

else'1';

lcd_vs='0'whenvcountreg>=607andvcounreg610

else'1';

vz_temp='1'whenvcountreg=615else'0';

lcd_vz=vz_temporrst_seq;

endblockvcount;

pix_clk=clk_seq;

lcd_dataen=lcd_hbandlcd_vb;

lcd_hs_out=lcd_hs;

lcd_vs_out=lcd_vs;

endrtl_seq_gen;

這種用VHDL產(chǎn)生掃描時(shí)序的方法簡(jiǎn)單、易讀,并且易于修改。在代碼中只須修改一些時(shí)序參數(shù)就能產(chǎn)生任意時(shí)序的波形,具有很好的可重用性。用FPGAExpress3.5半VHDL代碼綜合后,通過Foundation3.1i進(jìn)行布局和布線,用Foundation提供的門級(jí)仿真工具產(chǎn)生的行掃描時(shí)序仿真圖。

采用FPGA技術(shù)設(shè)計(jì)的AMLCD控制器,大大減少了電路板的尺寸,同時(shí)增加了系統(tǒng)可靠性和設(shè)計(jì)靈活性。這種用VHDL語言實(shí)現(xiàn)現(xiàn)行場(chǎng)掃描時(shí)序生成器的方法,具有簡(jiǎn)便。易讀和可重用性強(qiáng)的特點(diǎn)。該AMLCD控制器已用Xilinx公司的SpartanII系列器件XC2S50實(shí)現(xiàn),并在飛機(jī)座艙圖形顯示系統(tǒng)中實(shí)現(xiàn)應(yīng)用。



關(guān)鍵詞: AMLCD FPGA 控制器

評(píng)論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉