新聞中心

EEPW首頁 > EDA/PCB > 設(shè)計(jì)應(yīng)用 > 基于FPGA的AGWN信號(hào)生成器

基于FPGA的AGWN信號(hào)生成器

作者: 時(shí)間:2009-12-17 來源:網(wǎng)絡(luò) 收藏


在通信系統(tǒng)中分析計(jì)算系統(tǒng)抗噪聲性能時(shí),經(jīng)常假定信道噪聲為加性高斯型白噪聲()。本文就是通過分析的性質(zhì),采用自頂向下的設(shè)計(jì)思路,將分成若干模塊,最終使用Verilog硬件描述語言,完成了通信系統(tǒng)中AGWN發(fā)生電路的設(shè)計(jì)和仿真,其實(shí)質(zhì)上是設(shè)計(jì)一個(gè)AGWN發(fā)生器。該信號(hào)主要應(yīng)用在數(shù)字通信系統(tǒng)中,所以只需要產(chǎn)生數(shù)字形式的AGWN信號(hào),這樣既便于信號(hào)產(chǎn)生,也便于在數(shù)字通信系統(tǒng)中運(yùn)用。

本文引用地址:http://butianyuan.cn/article/191846.htm


1 AGWN信號(hào)的產(chǎn)生
AWGN信號(hào)指同時(shí)滿足白噪聲和高斯噪聲的條件的信號(hào)。白噪聲功率密度函數(shù)在整個(gè)頻率域內(nèi)是常數(shù),即服從均勻分布。完全理想的白噪聲不存在。高斯噪聲指概率密度函數(shù)服從高斯分布(即正態(tài)分布)。AGWN信號(hào)其實(shí)就是一個(gè)具有確定功率譜密度和概率分布函數(shù)的隨機(jī)信號(hào)。
由隨機(jī)過程的理論可以知道,不相關(guān)隨機(jī)序列的功率譜密度為常數(shù)(白噪聲),偽隨機(jī)序列(PN)就是這樣的不相關(guān)序列。再由中心極限定理,獨(dú)立同分布的隨機(jī)變量的和收斂于高斯隨機(jī)變量。這樣就可根據(jù)PN序列的性質(zhì)和中心極限定理來設(shè)計(jì)AWGN信號(hào)。
為簡單起見,設(shè)計(jì)用8個(gè)PN序列發(fā)生器產(chǎn)生8個(gè)獨(dú)立的偽隨機(jī)序列(每個(gè)分為實(shí)部和虛部),根據(jù)中心極限定理,將8個(gè)信號(hào)相加之后的信號(hào),更加接近于AG-WN信號(hào),最后再乘以一個(gè)可變系數(shù),就產(chǎn)生了可在數(shù)字通信系統(tǒng)中直接引用的AGWN信號(hào)。AGWN信號(hào)生成的總體框圖如圖1所示。

內(nèi)部產(chǎn)生n位并行偽隨機(jī)序列,可由n個(gè)并行的結(jié)構(gòu)相同但初始態(tài)互不相同的線性反饋移位寄存器(LFSR)產(chǎn)生。結(jié)構(gòu)相同的LFSR在不同初始狀態(tài)下產(chǎn)生的序列之間存在著一種移位關(guān)系,導(dǎo)致n個(gè)序列并非相互獨(dú)立。如果所期望的序列長度為k,那么只有在保證任一狀態(tài)在k次移位操作之內(nèi)都不會(huì)與其他狀態(tài)發(fā)生重復(fù)后,這種方法才是可取的。
在通信中,信號(hào)一般都表示為復(fù)數(shù)形式,所以該設(shè)計(jì)采用了實(shí)部與虛部的表示方法,AGWN信號(hào)分為實(shí)部與虛部,它們滿足正交關(guān)系。


2 模塊的設(shè)計(jì)與實(shí)現(xiàn)
設(shè)計(jì)主要分為三大模塊:PN序列產(chǎn)生模塊,產(chǎn)生符合高斯型白噪聲偽隨機(jī)序列;加法器模塊,將產(chǎn)生的8個(gè)PN序列相加產(chǎn)生更加符合AGWN信號(hào)的偽隨機(jī)序列;乘法器模塊,將加法器產(chǎn)生的偽隨機(jī)序列乘以一個(gè)可變系數(shù),得到最終的符合數(shù)字信號(hào)的偽隨機(jī)序列。
2.1 n個(gè)PN序列發(fā)生器的設(shè)計(jì)與實(shí)現(xiàn)
PN序列產(chǎn)生模塊的主要功能就是產(chǎn)生PN序列。而PN序列中m序列又是周期最大,偽隨機(jī)性最好的一種移位寄存器序列。m序列的自相關(guān)性、隨機(jī)性特性很好地滿足了AGWN信號(hào)的要求,故用其產(chǎn)生的序列可以來產(chǎn)生該設(shè)計(jì)中的信號(hào)。
要產(chǎn)生m序列,就要求移位寄存器的反饋鏈路滿足本原多項(xiàng)式,由,n個(gè)并行的結(jié)構(gòu)相同但初始態(tài)互不相同的線性反饋移位寄存器(LFSR)產(chǎn)生的序列在其周期足夠長的情況下可以把它們看作是獨(dú)立的。
設(shè)計(jì)中選取n=8,移位寄存器位數(shù)選取為25位,其m序列周期為33 554 431。其信號(hào)實(shí)部抽頭選取3,0,虛部抽頭選取3,2,1,0,分別對(duì)應(yīng)PN序列的特征多項(xiàng)式。這樣選取是因?yàn)橐粋€(gè)移位寄存器的本原多項(xiàng)式有很多種,這里選取的兩個(gè)抽頭比較簡單,對(duì)電路實(shí)現(xiàn)在資源、結(jié)構(gòu)上都有優(yōu)勢(shì)。PN發(fā)生器選擇8個(gè)是考慮到資源利用率方面的問題,這樣選取可使資源利用率達(dá)到最大。


上一頁 1 2 3 下一頁

關(guān)鍵詞: FPGA AGWN 信號(hào) 生成器

評(píng)論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉