新聞中心

EEPW首頁 > EDA/PCB > 設(shè)計應(yīng)用 > 基于FPGA的多通道同步數(shù)據(jù)采集存儲系統(tǒng)

基于FPGA的多通道同步數(shù)據(jù)采集存儲系統(tǒng)

作者: 時間:2009-09-03 來源:網(wǎng)絡(luò) 收藏

3 同步數(shù)據(jù)的采集
在執(zhí)行時,其電路如圖2所示。其主要工作流程:多路模擬信號經(jīng)運(yùn)放調(diào)理電路后進(jìn)入采樣保持器。在每個周期的開始,通過編程輸出脈沖至采樣保持器(AD781)和模擬電子開關(guān)(ADG706),也就是使用采樣保持器的S/H控制信號進(jìn)行多路同步采樣(S/H=1)和保持(S/H=0),同時控制模擬開關(guān)的A0~A3 4個選通信號,來選通相應(yīng)通道。選通后的信號同時由的控制進(jìn)入A/D轉(zhuǎn)換器(ADS8401)采集單路16 bit量化,最后將采集到的數(shù)據(jù)寫到外部FIFO緩存器中。也就是說,通過編程定時邏輯送出脈沖至采樣保持器對模擬輸入采樣,然后選擇一個通道的模擬輸入,完成A/D轉(zhuǎn)換??傊瓼PGA提供采樣保持、多路選通和A/D轉(zhuǎn)換電路模塊所需的各種狀態(tài)和控制時序邏輯。

模塊的程序主要采用Verilog HDL語言,Verilog HDL是用于邏輯設(shè)計的硬件描述語言,并且已成為IEEE標(biāo)準(zhǔn)。FPGA重點(diǎn)控制Verilog HDL程序算法的實(shí)現(xiàn)。程序主要包括采樣率計數(shù)循環(huán)控制、各路模擬開關(guān)選通的設(shè)計,幀計數(shù)以及幀標(biāo)志的循環(huán)控制。采樣保持器采樣保持一次,經(jīng)模擬開關(guān)計數(shù)循環(huán)控制,模擬開關(guān)依次選通。選通后的單路信號進(jìn)行A/D轉(zhuǎn)換,轉(zhuǎn)換后的數(shù)據(jù)寫入外部FIFO中??紤]到事后數(shù)據(jù)處理等問題,所采集的數(shù)據(jù)以一定的幀格式寫入FIFO中,每一幀數(shù)據(jù)有幀計數(shù)和幀標(biāo)志。每一幀的長度以及幀標(biāo)志的選擇,可以根據(jù)需要靈活選定。

4 多通道同步數(shù)據(jù)的存儲
根據(jù)系統(tǒng)要求,需采用SUMSUNG公司的K9K8G08U0M型Flash作為存儲器。由于1 G的Flash出廠時帶有一些初始化無效塊(包含一個或多個壞位的存儲塊),它被定義為包含一個或多個無效位的存儲塊,制造商不能保證這些無效塊具有可靠性。由于NAND型Flash存儲容量較大,難免在使用過程中出現(xiàn)存儲單元的損壞。為保證寫入數(shù)據(jù)的可靠性,為系統(tǒng)提供真實(shí)準(zhǔn)確的參數(shù),該系統(tǒng)存儲模塊采用的關(guān)鍵技術(shù)是Flash的壞塊檢測技術(shù)。系統(tǒng)上電后,F(xiàn)PGA主控模塊首先對Flash進(jìn)行擦除操作。在擦除過程中,對每塊壞塊標(biāo)志位進(jìn)行檢測,對使用過程中又出現(xiàn)的壞塊進(jìn)行標(biāo)識,以便以后使用。擦除模塊具體程序流程如圖3所示。



評論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉