新聞中心

EEPW首頁 > EDA/PCB > 設(shè)計(jì)應(yīng)用 > 量程自動(dòng)轉(zhuǎn)換的12位十進(jìn)制等精度數(shù)字頻率計(jì)

量程自動(dòng)轉(zhuǎn)換的12位十進(jìn)制等精度數(shù)字頻率計(jì)

作者: 時(shí)間:2008-10-17 來源:網(wǎng)絡(luò) 收藏
1 引言
VHDL是一種快速設(shè)計(jì)電路工具,目前已成為IEEE的一種工業(yè)標(biāo)準(zhǔn)硬件描述語言。相比傳統(tǒng)電路系統(tǒng)設(shè)計(jì)方法,VHDL具有多層次描述系統(tǒng)硬件功能的能力,支持自頂向下(Top to Down)和基于庫(Library Based)的設(shè)計(jì)特點(diǎn),因此設(shè)計(jì)者無需了解硬件結(jié)構(gòu),就可進(jìn)行設(shè)計(jì)。
是數(shù)字電路中的一個(gè)典型應(yīng)用,實(shí)際硬件設(shè)計(jì)采用的器件較多,連線復(fù)雜,而且會(huì)產(chǎn)生較大延時(shí),造成測(cè)量誤差且可靠性差。隨著復(fù)雜可編程邏輯器件的廣泛應(yīng)用,以EDA工具為開發(fā)手段,運(yùn)用VHDL語言,從而大大簡(jiǎn)化系統(tǒng)設(shè)計(jì),提高整體的性能和可靠性。


2 測(cè)頻原理
數(shù)字式頻率計(jì)的基本原理:使用一個(gè)頻率穩(wěn)定度高的頻率源作為基準(zhǔn)時(shí)鐘,來對(duì)比測(cè)量其他信號(hào)的頻率。通常情況下計(jì)算單位時(shí)間內(nèi)待測(cè)信號(hào)的脈沖個(gè)數(shù)。單位時(shí)間可設(shè)置為大于或小于一秒。閘門時(shí)間越長(zhǎng),頻率值越準(zhǔn)確,但閘門時(shí)間越長(zhǎng),每次測(cè)量頻率的間隔也就越長(zhǎng)。閘門時(shí)間越短,測(cè)量的頻率值刷新越快,但將影響到測(cè)量的頻率精度。每次測(cè)量時(shí),采用時(shí)基信號(hào)產(chǎn)生的閘門信號(hào)啟動(dòng)計(jì)數(shù)器來對(duì)輸入的脈沖信號(hào)計(jì)數(shù):閘門信號(hào)結(jié)束則將計(jì)數(shù)結(jié)果送入鎖存器,然后計(jì)數(shù)器清零,準(zhǔn)備下次計(jì)數(shù)。如被測(cè)波形不好,可通過施密特電路整形。


3 系統(tǒng)結(jié)構(gòu)設(shè)計(jì)
按照系統(tǒng)功能,系統(tǒng)分為二分頻、測(cè)量/校驗(yàn)選擇、計(jì)數(shù)器、送存選擇報(bào)警電路、鎖存器、掃描顯示等模塊,如圖1所示。1 Hz時(shí)鐘信號(hào)作為測(cè)頻電路的輸入?yún)⒖夹盘?hào),經(jīng)二分頻電路分頻后與測(cè)量/校驗(yàn)選擇電路輸出的待測(cè)信號(hào)相比較,通過計(jì)數(shù)器電路在單位時(shí)間內(nèi)計(jì)數(shù)脈沖數(shù),時(shí)鐘下降沿結(jié)束計(jì)數(shù):并將計(jì)數(shù)結(jié)果送至送存選擇報(bào)警電路,由送存選擇報(bào)警電路判斷,并完成對(duì)量程的選擇。若超限,則發(fā)出報(bào)警信號(hào):最后再由鎖存器和顯示電路完成數(shù)據(jù)顯示。該系統(tǒng)整體設(shè)計(jì)的電路圖如圖2所示。

3.1 測(cè)量/校驗(yàn)選擇模塊
測(cè)量/校驗(yàn)選擇模塊采用二選一的選擇器實(shí)現(xiàn)。測(cè)量與校驗(yàn)共用一電路,只是輸出信號(hào)CP1不同。其中各輸入信號(hào):選擇信號(hào)sel、被測(cè)信號(hào)meas、測(cè)試信號(hào)test,而輸出信號(hào)為CP1。當(dāng)sel=0時(shí),為測(cè)量狀態(tài),CPl=meas;當(dāng)sel=1時(shí),為校驗(yàn)狀態(tài),CPl=test。其仿真結(jié)果如圖3所示。

3.2 測(cè)頻控制信號(hào)發(fā)生器
測(cè)頻控制信號(hào)發(fā)生器采用分頻電路實(shí)現(xiàn),采用1 Hz時(shí)鐘信號(hào)作為輸入信號(hào)。測(cè)頻控制信號(hào)發(fā)生器決定系統(tǒng)精度。本系統(tǒng)設(shè)計(jì)采用的二分頻電路,輸出占空比為50%,周期為2 s的方波。其仿真結(jié)果如圖4所示。相關(guān)設(shè)計(jì)程序代碼如下:


3.3 計(jì)數(shù)器模塊
計(jì)數(shù)器模塊對(duì)測(cè)頻控制信號(hào)發(fā)生器的輸出信號(hào)CP1進(jìn)行計(jì)數(shù),1 s定時(shí)后,將計(jì)數(shù)器中的結(jié)果送入鎖存器鎖存,同時(shí)對(duì)計(jì)數(shù)器清零,為下一次采樣測(cè)量做好準(zhǔn)備,通過對(duì)計(jì)數(shù)器例化實(shí)現(xiàn)。輸入信號(hào):RD和CP用于計(jì)數(shù)開始、清零、鎖存;輸出信號(hào)為Q4~Q1。圖5所示為計(jì)數(shù)器模塊的仿真結(jié)果。其相關(guān)程序代碼如下:

3.4 送存選擇報(bào)警模塊
送存選擇報(bào)警模塊是本系統(tǒng)設(shè)計(jì)的關(guān)鍵,該模塊提供兩個(gè)功能:根據(jù)被測(cè)信號(hào)選擇量程,若超出量程則報(bào)警,鎖存數(shù)據(jù)。仿真結(jié)果如圖6所示。

設(shè)置超出量程檔測(cè)量范圍示警信號(hào)alert。
(1)若被測(cè)信號(hào)頻率值小于1 kHz(K=0)
計(jì)數(shù)器只進(jìn)行三位計(jì)數(shù),最大顯示值為999 Hz:數(shù)碼管顯示被測(cè)信號(hào)頻率值,1 Hz~999 Hz量程檔,Y顯示綠色;如果被測(cè)信號(hào)頻率值超出該范圍,告警信號(hào)驅(qū)動(dòng)燈光、揚(yáng)聲器報(bào)警;
(2)若被測(cè)信號(hào)頻率值為1 kHz~10 kHz(K=1)
計(jì)數(shù)器進(jìn)行四位計(jì)數(shù),取高3位顯示,最大顯示值為9.99 kHz,被測(cè)信號(hào)頻率值為數(shù)碼管顯示數(shù)值的1 000倍,1 kHz~10 kHz量程檔,Y顯示紅色。如果被測(cè)信號(hào)頻率值超出該范圍,則揚(yáng)聲器報(bào)警。并給出相關(guān)程序代碼:


3.5 掃描顯示模塊
系統(tǒng)的掃描顯示模塊分為分時(shí)總線切換和顯示譯碼電路。分時(shí)總線切換用于顯示位的選擇,顯示譯碼用于顯示段的選擇。采用動(dòng)態(tài)掃描顯示可使端口數(shù)目達(dá)到最少。
3.6 頂層設(shè)計(jì)
在頂層設(shè)計(jì)中對(duì)各模塊進(jìn)行例化,實(shí)現(xiàn)整個(gè)系統(tǒng)設(shè)計(jì)。


4 結(jié)束語
通過對(duì)仿真結(jié)果的分析,系統(tǒng)設(shè)計(jì)達(dá)到了最初的設(shè)計(jì)要求,并使用康芯公司GW48EDA PK2/CK試驗(yàn)系統(tǒng)對(duì)系統(tǒng)設(shè)計(jì)軟件程序進(jìn)行驗(yàn)證,同樣達(dá)到了設(shè)計(jì)要求。



評(píng)論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉