新聞中心

EEPW首頁 > EDA/PCB > 設(shè)計(jì)應(yīng)用 > EDA技術(shù)發(fā)展總結(jié)

EDA技術(shù)發(fā)展總結(jié)

作者: 時(shí)間:2017-06-13 來源:網(wǎng)絡(luò) 收藏

本文引用地址:http://www.butianyuan.cn/article/201706/358105.htm

1.技術(shù)的概念

技術(shù)是在電子CAD技術(shù)基礎(chǔ)上發(fā)展起來的計(jì)算機(jī)軟件系統(tǒng),是指以計(jì)算機(jī)為工作平臺,融合了應(yīng)用電子技術(shù)、計(jì)算機(jī)技術(shù)、信息處理及智能化技術(shù)的最新成果,進(jìn)行電子產(chǎn)品的自動(dòng)設(shè)計(jì)。

利用工具,電子設(shè)計(jì)師可以從概念、算法、協(xié)議等開始設(shè)計(jì)電子系統(tǒng),大量工作可以通過計(jì)算機(jī)完成,并可以將電子產(chǎn)品從電路設(shè)計(jì)、性能分析到設(shè)計(jì)出IC版圖或PCB版圖的整個(gè)過程的計(jì)算機(jī)上自動(dòng)處理完成。

現(xiàn)在對EDA的概念或范疇用得很寬。包括在機(jī)械、電子、通信、航空航天、化工、礦產(chǎn)、生物、醫(yī)學(xué)、軍事等各個(gè)領(lǐng)域,都有EDA的應(yīng)用。目前EDA技術(shù)已在各大公司、企事業(yè)單位和科研教學(xué)部門廣泛使用。例如在飛機(jī)制造過程中,從設(shè)計(jì)、性能測試及特性分析直到飛行模擬,都可能涉及到EDA技術(shù)。本文所指的EDA技術(shù),主要針對、。

EDA設(shè)計(jì)可分為系統(tǒng)級、電路級和物理實(shí)現(xiàn)級。

2 EDA常用軟件

EDA工具層出不窮,目前進(jìn)入我國并具有廣泛影響的EDA軟件有:multiSIM7(原EWB的最新版本)、P、OrCAD、PCAD、Protel、Viewlogic、Mentor、Graphics、Synopsys、LSIIogic、Cadence、MicroSim等等。這些工具都有較強(qiáng)的功能,一般可用于幾個(gè)方面,例如很多軟件都可以進(jìn)行電路設(shè)計(jì)與仿真,同進(jìn)還可以進(jìn)行PCB自動(dòng)布局布線,可輸出多種網(wǎng)表文件與第三方軟件接口。下面按主要功能或主要應(yīng)用場合,分為電路設(shè)計(jì)與仿真工具、軟件、軟件、PLD設(shè)計(jì)工具及其它EDA軟件,進(jìn)行簡單介紹。

2.1與仿真工具

我們大家可能都用過試驗(yàn)板或者其他的東西制作過一些電子制做來進(jìn)行實(shí)踐。但是有的時(shí)候,我們會發(fā)現(xiàn)做出來的東西有很多的問題,事先并沒有想到,這樣一來就浪費(fèi)了我們的很多時(shí)間和物資。而且增加了產(chǎn)品的開發(fā)周期和延續(xù)了產(chǎn)品的上市時(shí)間從而使產(chǎn)品失去市場競爭優(yōu)勢。有沒有能夠不動(dòng)用電烙鐵試驗(yàn)板就能知道結(jié)果的方法呢?結(jié)論是有,這就是電路設(shè)計(jì)與仿真技術(shù)。

說到與仿真工具這項(xiàng)技術(shù),就不能不提到美國,不能不提到他們的飛機(jī)設(shè)計(jì)為什么有很高的效率。以前我國定型一個(gè)中型飛機(jī)的設(shè)計(jì),從草案到詳細(xì)設(shè)計(jì)到風(fēng)洞試驗(yàn)再到最后出圖到實(shí)際投產(chǎn),整個(gè)周期大概要10年。而美國是1年。

為什么會有這樣大的差距呢?因?yàn)槊绹谠O(shè)計(jì)時(shí)大部分采用的是虛擬仿真技術(shù),把多年積累的各項(xiàng)風(fēng)洞實(shí)驗(yàn)參數(shù)都輸入電腦,然后通過電腦編程編寫出一個(gè)虛擬環(huán)境的軟件,并且使它能夠自動(dòng)套用相關(guān)公式和調(diào)用長期積累后輸入電腦的相關(guān)經(jīng)驗(yàn)參數(shù)。這樣一來,只要把飛機(jī)的外形計(jì)數(shù)據(jù)放入這個(gè)虛擬的風(fēng)洞軟件中進(jìn)行試驗(yàn),哪里不合理有問題就改動(dòng)那里,直至最佳效果,效率自然高了,最后只要再在實(shí)際環(huán)境中測試幾次找找不足就可以定型了,從他們的波音747到F16都是采用的這種方法??諝鈩?dòng)力學(xué)方面的數(shù)據(jù)由資深專家提供,軟件開發(fā)商是IBM,飛行器設(shè)計(jì)工程師只需利用仿真軟件在計(jì)算機(jī)平臺上進(jìn)行各種仿真調(diào)試工作即可。同樣,他們其他的很多東西都是采用了這樣類似的方法,從大到小,從復(fù)雜到簡單,甚至包括設(shè)計(jì)家具和作曲,只是具體軟件內(nèi)容不同。其實(shí),他們發(fā)明第一代計(jì)算機(jī)時(shí)就是這個(gè)目的(當(dāng)初是為了高效率設(shè)計(jì)大炮和相關(guān)炮彈以及其他計(jì)算量大的設(shè)計(jì))。

電子電路設(shè)計(jì)與仿真工具包括/P;multiSIM7;Matlab;SystemView;MMICAD LiveWire、Edison、Tina Pro Bright Spark等。下面簡單介紹前三個(gè)軟件。

①SPICE(Simulation Program with Integrated Circuit Emphasis):是由美國加州大學(xué)推出的電路分析仿真軟件,是20世紀(jì)80年代世界上應(yīng)用最廣的電路設(shè)計(jì)軟件,1998年被定為美國國家標(biāo)準(zhǔn)。1984年,美國MicroSim公司推出了基于SPICE的微機(jī)版PSPICE(Personal-SPICE)?,F(xiàn)在用得較多的是PSPICE6.2,可以說在同類產(chǎn)品中,它是功能最為強(qiáng)大的模擬和數(shù)字電路混合仿真EDA軟件,在國內(nèi)普遍使用。最新推出了PSPICE9.1版本。它可以進(jìn)行各種各樣的電路仿真、激勵(lì)建立、溫度與噪聲分析、模擬控制、波形輸出、數(shù)據(jù)輸出、并在同一窗口內(nèi)同時(shí)顯示模擬與數(shù)字的仿真結(jié)果。無論對哪種器件哪些電路進(jìn)行仿真,都可以得到精確的仿真結(jié)果,并可以自行建立元器件及元器件庫。

②multiSIM(EWB的最新版本)軟件:是Interactive Image Technologies Ltd在20世紀(jì)末推出的電路仿真軟件。其最新版本為multiSIM7,目前普遍使用的是multiSIM2001,相對于其它EDA軟件,它具有更加形象直觀的人機(jī)交互界面,特別是其儀器儀表庫中的各儀器儀表與操作真實(shí)實(shí)驗(yàn)中的實(shí)際儀器儀表完全沒有兩樣,但它對模數(shù)電路的混合仿真功能卻毫不遜色,幾乎能夠100%地仿真出真實(shí)電路的結(jié)果,并且它在儀器儀表庫中還提供了萬用表、信號發(fā)生器、瓦特表、雙蹤示波器(對于multiSIM7還具有四蹤示波器)、波特儀(相當(dāng)實(shí)際中的掃頻儀)、字信號發(fā)生器、邏輯分析儀、邏輯轉(zhuǎn)換儀、失真度分析儀、頻譜分析儀、網(wǎng)絡(luò)分析儀和電壓表及電流表等儀器儀表。還提供了我們?nèi)粘3R姷母鞣N建模精確的元器件,比如電阻、電容、電感、三極管、二極管、繼電器、可控硅、數(shù)碼管等等。模擬集成電路方面有各種運(yùn)算放大器、其他常用集成電路。數(shù)字電路方面有74系列集成電路、4000系列集成電路、等等還支持自制元器件。MultiSIM7還具有I-V分析儀(相當(dāng)于真實(shí)環(huán)境中的晶體管特性圖示儀)和Agilent信號發(fā)生器、Agilent萬用表、Agilent示波器和動(dòng)態(tài)邏輯平筆等。同時(shí)它還能進(jìn)行VHDL仿真和Verilog HDL仿真。

③MATLAB產(chǎn)品族:它們的一大特性是有眾多的面向具體應(yīng)用的工具箱和仿真塊,包含了完整的函數(shù)集用來對圖像信號處理、控制系統(tǒng)設(shè)計(jì)、神經(jīng)網(wǎng)絡(luò)等特殊應(yīng)用進(jìn)行分析和設(shè)計(jì)。它具有數(shù)據(jù)采集、報(bào)告生成和MATLAB語言編程產(chǎn)生獨(dú)立C/C++代碼等功能。MATLAB產(chǎn)品族具有下列功能:數(shù)據(jù)分析;數(shù)值和符號計(jì)算、工程與科學(xué)繪圖;控制系統(tǒng)設(shè)計(jì);數(shù)字圖像信號處理;財(cái)務(wù)工程;建模、仿真、原型開發(fā);應(yīng)用開發(fā);圖形用戶界面設(shè)計(jì)等。MATLAB產(chǎn)品族被廣泛應(yīng)用于信號與圖像處理、控制系統(tǒng)設(shè)計(jì)、通訊系統(tǒng)仿真等諸多領(lǐng)域。開放式的結(jié)構(gòu)使MATLAB產(chǎn)品族很容易針對特定的需求進(jìn)行擴(kuò)充,從而在不斷深化對問題的認(rèn)識同時(shí),提高自身的競爭力。

2.2 軟件

PCB(Printed-Circuit Board)設(shè)計(jì)軟件種類很多,如Protel、OrCAD、Viewlogic、PowerPCB、Cadence PSD、MentorGraphices的Expedition PCB、Zuken CadStart、Winboard/Windraft/Ivex-SPICE、PCB Studio、TANGO、PCBWizard(與LiveWire配套的PCB制作軟件包)、ultiBOARD7(與multiSIM2001配套的PCB制作軟件包)等等。

目前在我國用得最多當(dāng)屬Protel,下面僅對此軟件作一介紹。

Protel是PROTEL(現(xiàn)為Altium)公司在20世紀(jì)80年代末推出的CAD工具,是PCB設(shè)計(jì)者的首選軟件。它較早在國內(nèi)使用,普及率最高,在很多的大、中專院校的電路專業(yè)還專門開設(shè)Protel課程,幾乎所在的電路公司都要用到它。早期的Protel主要作為印刷板自動(dòng)布線工具使用,其最新版本為Protel DXP,現(xiàn)在普遍使用的是Protel99SE,它是個(gè)完整的全方位電路設(shè)計(jì)系統(tǒng),包含了電原理圖繪制、模擬電路與數(shù)字電路混合信號仿真、多層印刷電路板設(shè)計(jì)(包含印刷電路板自動(dòng)布局布線),可編程邏輯器件設(shè)計(jì)、圖表生成、電路表格生成、支持宏操作等功能,并具有Client/Server(客戶/服務(wù)體系結(jié)構(gòu)),同時(shí)還兼容一些其它設(shè)計(jì)軟件的文件格式,如ORCAD、PSPICE、EXCEL等。使用多層印制線路板的自動(dòng)布線,可實(shí)現(xiàn)高密度PCB的100%布通率。Protel軟件功能強(qiáng)大(同時(shí)具有電路仿真功能和PLD開發(fā)功能)、界面友好、使用方便,但它最具代表性的是電路設(shè)計(jì)和PCB設(shè)計(jì)。

2.3 軟件

IC設(shè)計(jì)工具很多,其中按市場所占份額排行為Cadence、Mentor Graphics和Synopsys.這三家都是ASIC設(shè)計(jì)領(lǐng)域相當(dāng)有名的軟件供應(yīng)商。其它公司的軟件相對來說使用者較少。中國公司也提供ASIC設(shè)計(jì)軟件(熊貓2000);另外近來出名的Avanti公司,是原來在Cadence的幾個(gè)華人工程師創(chuàng)立的,他們的設(shè)計(jì)工具可以全面和Cadence公司的工具相抗衡,非常適用于深亞微米的IC設(shè)計(jì)。下面按用途對IC設(shè)計(jì)軟件作一些介紹。

①設(shè)計(jì)輸入工具

這是任何一種EDA軟件必須具備的基本功能。像Cadence的composer,viewlogic的viewdraw,硬件描述語言VHDL、Verilog HDL是主要設(shè)計(jì)語言,許多設(shè)計(jì)輸入工具都支持HDL(比如說multiSIM等)。另外像Active-HDL和其它的設(shè)計(jì)輸入方法,包括原理和狀態(tài)機(jī)輸入方法,設(shè)計(jì)FPGA/CPLD的工具大都可作為IC設(shè)計(jì)的輸入手段,如Xilinx、Altera等公司提供的開發(fā)工具M(jìn)odelsim FPGA等。

②設(shè)計(jì)仿真工作

我們使用EDA工具的一個(gè)最大好處是可以驗(yàn)證設(shè)計(jì)是否正確,幾乎每個(gè)公司的EDA產(chǎn)品都有仿真工具。Verilog-XL、NC-verilog用于Verilog仿真,Leapfrog用于VHDL仿真,Analog Artist用于模擬電路仿真。Viewlogic的仿真器有:viewsim門級電路仿真器,speedwaveVHDL仿真器,VCS-verilog仿真器。Mentor Graphics有其子公司Model Tech出品的VHDL和Verilog雙仿真器:Model Sim.Cadence、Synopsys用的是VSS(VHDL仿真器)?,F(xiàn)在的趨勢是各大EDA公司都逐漸用HDL仿真器作為電路驗(yàn)證的工具。

③綜合工具

綜合工具可以把HDL變成門級網(wǎng)表。這方面Synopsys工具占有較大的優(yōu)勢,它的Design Compile是作為一個(gè)綜合的工業(yè)標(biāo)準(zhǔn),它還有另外一個(gè)產(chǎn)品叫Behavior Compiler,可以提供更高級的綜合。

另外最近美國又出了一個(gè)軟件叫Ambit,據(jù)說比Synopsys的軟件更有效,可以綜合50萬門的電路,速度更快。今年初Ambit被Cadence公司收購,為此Cadence放棄了它原來的綜合軟件Synergy.隨著FPGA設(shè)計(jì)的規(guī)模越來越大,各EDA公司又開發(fā)了用于FPGA設(shè)計(jì)的綜合軟件,比較有名的有:Synopsys的FPGA Express,Cadence的Synplity,Mentor的Leonardo,這三家的FPGA綜合軟件占了市場的絕大部分。

④布局和布線

在IC設(shè)計(jì)的布局布線工具中,Cadence軟件是比較強(qiáng)的,它有很多產(chǎn)品,用于標(biāo)準(zhǔn)單元、門陣列已可實(shí)現(xiàn)交互布線。最有名的是Cadence spectra,它原來是用于PCB布線的,后來Cadence把它用來作IC的布線。其主要工具有:Cell3,Silicon Ensemble-標(biāo)準(zhǔn)單元布線器;Gate Ensemble-門陣列布線器;Design Planner-布局工具。其它各EDA軟件開發(fā)公司也提供各自的布局布線工具。

⑤物理驗(yàn)證工具

物理驗(yàn)證工具包括版圖設(shè)計(jì)工具、版圖驗(yàn)證工具、版圖提取工具等等。這方面Cadence也是很強(qiáng)的,其Dracula、Virtuso、Vampire等物理工具有很多的使用者。

⑥模擬電路仿真器

前面講的仿真器主要是針對數(shù)字電路的,對于模擬電路的仿真工具,普遍使用SPICE,這是唯一的選擇。只不過是選擇不同公司的SPICE,像MiceoSim的PSPICE、Meta Soft的HSPICE等等。HSPICE現(xiàn)在被Avanti公司收購了。在眾多的SPICE中,HSPICE作為IC設(shè)計(jì),其模型多,仿真的精度也高。

2.4 PLD設(shè)計(jì)工具

PLD(Programmable Logic Device)是一種由用戶根據(jù)需要而自行構(gòu)造邏輯功能的數(shù)字集成電路。目前主要有兩大類型:CPLD(Complex PLD)和FPGA(Field Programmable Gate Array)。它們的基本設(shè)計(jì)方法是借助于EDA軟件,用原理圖、狀態(tài)機(jī)、布爾表達(dá)式、硬件描述語言等方法,生成相應(yīng)的目標(biāo)文件,最后用編程器或下載電纜,由目標(biāo)器件實(shí)現(xiàn)。生產(chǎn)PLD的廠家很多,但最有代表性的PLD廠家為Altera、Xilinx和Lattice公司。

PLD的開發(fā)工具一般由器件生產(chǎn)廠家提供,但隨著器件規(guī)模的不斷增加,軟件的復(fù)雜性也隨之提高,目前由專門的軟件公司與器件生產(chǎn)廠家使用,推出功能強(qiáng)大的設(shè)計(jì)軟件。下面介紹主要器件生產(chǎn)廠家和開發(fā)工具。

①ALTERA:20世紀(jì)90年代以后發(fā)展很快。主要產(chǎn)品有:MAX3000/7000、FELX6K/10K、APEX20K、ACEX1K、Stratix等。其開發(fā)工具-MAX+PLUS II是較成功的PLD開發(fā)平臺,最新又推出了Quartus II開發(fā)軟件。Altera公司提供較多形式的設(shè)計(jì)輸入手段,綁定第三方VHDL綜合工具,如:綜合軟件FPGA Express、Leonard Spectrum,仿真軟件ModelSim.

②ILINX:FPGA的發(fā)明者。產(chǎn)品種類較全,主要有:XC9500/4000、Coolrunner(XPLA3)、Spartan、Vertex等系列,其最大的Vertex-II Pro器件已達(dá)到800萬門。開發(fā)軟件為Foundation和ISE.通常來說,在歐洲用Xilinx的人多,在日本和亞太地區(qū)用ALTERA的人多,在美國則是平分秋色。全球PLD/FPGA產(chǎn)品60%以上是由Altera和Xilinx提供的??梢灾vAltera和Xilinx共同決定了PLD技術(shù)的發(fā)展方向。

③Lattice-Vantis:Lattice是ISP(In-System Programmability)技術(shù)的發(fā)明者。ISP技術(shù)極大地促進(jìn)了PLD產(chǎn)品的發(fā)展,與ALTERA和XILINX相比,其開發(fā)工具比Altera和Xilinx略遜一籌。中小規(guī)模PLD比較有特色,大規(guī)模PLD的競爭力還不夠強(qiáng)(Lattice沒有基于查找表技術(shù)的大規(guī)模FPGA),1999年推出可編程模擬器件,1999年收購Vantis(原AMD子公司),成為第三大可編程邏輯器件供應(yīng)商。2001年12月收購Agere公司(原Lucent微電子部)的FPGA部門。主要產(chǎn)品有ispLSI2000/5000/8000,MACH4/5.

④ACTEL:反熔絲(一次性燒寫)PLD的領(lǐng)導(dǎo)者。由于反熔絲PLD抗輻射、耐高低溫、功耗低、速度快,所以在軍品和宇航級上有較大優(yōu)勢。ALTERA和XILINX則一般不涉足軍品和宇航級市場。

⑤Quicklogic:專業(yè)PLD/FPGA公司,以一次性反熔絲工藝為主,在中國地區(qū)銷售量不大。

⑥Lucent:主要特點(diǎn)是有不少用于通訊領(lǐng)域的專用IP核,但PLD/FPGA不是Lucent的主要業(yè)務(wù),在中國地區(qū)使用的人很少。

⑦ATMEL:中小規(guī)模PLD做得不錯(cuò)。ATMEL也做了一些與Altera和Xilinx兼容的片子,但在品質(zhì)上與原廠家還是有一些差距,在高可靠性產(chǎn)品中使用較少,多用在低端產(chǎn)品上。

⑧Clear Logic:生產(chǎn)與一些著名PLD/FPGA大公司兼容的芯片,這種芯片可將用戶的設(shè)計(jì)一次性固化,不可編程,批量生產(chǎn)時(shí)的成本較低。

⑨WSI:生產(chǎn)PSD(單片機(jī)可編程外圍芯片)產(chǎn)品。這是一種特殊的PLD,如最新的PSD8xx、PSD9xx集成了PLD、EPROM、Flash,并支持ISP(在線編程),集成度高,主要用于配合單片機(jī)工作。

順便提一下:PLD(可編程邏輯器件)是一種可以完全替代74系列及GAL、PLA的新型電路,只要有數(shù)字電路基礎(chǔ),會使用計(jì)算機(jī),就可以進(jìn)行PLD的開發(fā)。PLD的在線編程能力和強(qiáng)大的開發(fā)軟件,使工程師可以幾天,甚至幾分鐘內(nèi)就可完成以往幾周才能完成的工作,并可將數(shù)百萬門的復(fù)雜設(shè)計(jì)集成在一顆芯片內(nèi)。PLD技術(shù)在發(fā)達(dá)國家已成為電子工程師必備的技術(shù)。

2.5其它EDA軟件

①VHDL語言:超高速集成電路硬件描述語言(VHSIC Hardware Deseription Languagt,簡稱VHDL),是IEEE的一項(xiàng)標(biāo)準(zhǔn)設(shè)計(jì)語言。它源于美國國防部提出的超高速集成電路(Very High Speed Integrated Circuit,簡稱VHSIC)計(jì)劃,是ASIC設(shè)計(jì)和PLD設(shè)計(jì)的一種主要輸入工具。

②Veriolg HDL:是Verilog公司推出的硬件描述語言,在ASIC設(shè)計(jì)方面與VHDL語言平分秋色。

③其它EDA軟件如專門用于微波電路設(shè)計(jì)和電力載波工具、PCB制作和工藝流程控制等領(lǐng)域的工具,在此就不作介紹了。

3 EDA的應(yīng)用

EDA在教學(xué)、科研、產(chǎn)品設(shè)計(jì)與制造等各方面都發(fā)揮著巨大的作用。在教學(xué)方面,幾乎所有理工科(特別是電子信息)類的高校都開設(shè)了EDA課程。主要是讓學(xué)生了解EDA的基本概念和基本原理、掌握用HDL語言編寫規(guī)范、掌握邏輯綜合的理論和算法、使用EDA工具進(jìn)行電子電路課程的實(shí)驗(yàn)驗(yàn)證并從事簡單系統(tǒng)的設(shè)計(jì)。一般學(xué)習(xí)電路仿真工具(如multiSIM、PSPICE)和PLD開發(fā)工具(如Altera/Xilinx的器件結(jié)構(gòu)及開發(fā)系統(tǒng)),為今后工作打下基礎(chǔ)。

科研方面主要利用電路仿真工具(multiSIM或PSPICE)進(jìn)行電路設(shè)計(jì)與仿真;利用虛擬儀器進(jìn)行產(chǎn)品測試;將CPLD/FPGA器件實(shí)際應(yīng)用到儀器設(shè)備中;從事PCB設(shè)計(jì)和ASIC設(shè)計(jì)等。

在產(chǎn)品設(shè)計(jì)與制造方面,包括計(jì)算機(jī)仿真,產(chǎn)品開發(fā)中的EDA工具應(yīng)用、系統(tǒng)級模擬及測試環(huán)境的仿真,生產(chǎn)流水線的EDA技術(shù)應(yīng)用、產(chǎn)品測試等各個(gè)環(huán)節(jié)。如PCB的制作、電子設(shè)備的研制與生產(chǎn)、電路板的焊接、ASIC的制作過程等。

從應(yīng)用領(lǐng)域來看,EDA技術(shù)已經(jīng)滲透到各行各業(yè),如上文所說,包括在機(jī)械、電子、通信、航空航航天、化工、礦產(chǎn)、生物、醫(yī)學(xué)、軍事等各個(gè)領(lǐng)域,都有EDA應(yīng)用。另外,EDA軟件的功能日益強(qiáng)大,原來功能比較單一的軟件,現(xiàn)在增加了很多新用途。如AutoCAD軟件可用于機(jī)械及建筑設(shè)計(jì),也擴(kuò)展到建筑裝璜及各類效果圖、汽車和飛機(jī)的模型、電影特技等領(lǐng)域。

4 EDA技術(shù)的發(fā)展趨勢

從目前的EDA技術(shù)來看,其發(fā)展趨勢是政府重視、使用普及、應(yīng)用廣泛、工具多樣、軟件功能強(qiáng)大。

中國EDA市場已漸趨成熟,不過大部分設(shè)計(jì)工程師面向的是PCB制板和小型ASIC領(lǐng)域,僅有小部分(約11%)的設(shè)計(jì)人員開發(fā)復(fù)雜的片上系統(tǒng)器件。為了與臺灣和美國的設(shè)計(jì)工程師形成更有力的競爭,中國的設(shè)計(jì)隊(duì)伍有必要引進(jìn)和學(xué)習(xí)一些最新的EDA技術(shù)。

在信息通信領(lǐng)域,要優(yōu)先發(fā)展高速寬帶信息網(wǎng)、深亞微米集成電路、新型元器件、計(jì)算機(jī)及軟件技術(shù)、第三代移動(dòng)通信技術(shù)、信息管理、信息安全技術(shù),積極開拓以數(shù)字技術(shù)、網(wǎng)絡(luò)技術(shù)為基礎(chǔ)的新一代信息產(chǎn)品,發(fā)展新興產(chǎn)業(yè),培育新的經(jīng)濟(jì)增長點(diǎn)。要大力推進(jìn)制造業(yè)信息化,積極開展計(jì)算機(jī)輔助設(shè)計(jì)(CAD)、計(jì)算機(jī)輔助工程(CAE)、計(jì)算機(jī)輔助工藝(CAPP)、計(jì)算機(jī)機(jī)輔助制造(CAM)、產(chǎn)品數(shù)據(jù)管理(PDM)、制造資源計(jì)劃(MRPII)及企業(yè)資源管理(ERP)等。有條件的企業(yè)可開展“網(wǎng)絡(luò)制造”,便于合作設(shè)計(jì)、合作制造,參與國內(nèi)和國際競爭。開展“數(shù)控化”工程和“數(shù)字化”工程。自動(dòng)化儀表的技術(shù)發(fā)展趨勢的測試技術(shù)、控制技術(shù)與計(jì)算機(jī)技術(shù)、通信技術(shù)進(jìn)一步融合,形成測量、控制、通信與計(jì)算機(jī)(M3C)結(jié)構(gòu)。在ASIC和PLD設(shè)計(jì)方面,向超高速、高密度、低功耗、低電壓方面發(fā)展。

外設(shè)技術(shù)與EDA工程相結(jié)合的市場前景看好,如組合超大屏幕的相關(guān)連接,多屏幕技術(shù)也有所發(fā)展。

中國自1995年以來加速開發(fā)半導(dǎo)體產(chǎn)業(yè),先后建立了幾所設(shè)計(jì)中心,推動(dòng)系列設(shè)計(jì)活動(dòng)以應(yīng)對亞太地區(qū)其它EDA市場的競爭。

在EDA軟件開發(fā)方面,目前主要集中在美國。但各國也正在努力開發(fā)相應(yīng)的工具。日本、韓國都有ASIC設(shè)計(jì)工具,但不對外開放。中國集成電路設(shè)計(jì)中心,也提供IC設(shè)計(jì)軟件,但性能不是很強(qiáng)。相信在不久的將來會有更多更好的設(shè)計(jì)工具在各地開花并結(jié)果。據(jù)最新統(tǒng)計(jì)顯示,中國和印度正在成為電子設(shè)計(jì)自動(dòng)化領(lǐng)域發(fā)展最快的兩個(gè)市場,年夏合增長率分別達(dá)到了50%和30%。

EDA技術(shù)發(fā)展迅猛,完全可以用日新月異來描述。EDA技術(shù)的應(yīng)用廣泛,現(xiàn)在已涉及到各行各業(yè)。EDA水平不斷提高,設(shè)計(jì)工具趨于完美的地步。EDA市場日趨成熟,但我國的研發(fā)水平仍很有限,尚需迎頭趕上。



評論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉