新聞中心

EEPW首頁(yè) > EDA/PCB > 設(shè)計(jì)應(yīng)用 > EDA技術(shù)創(chuàng)新演化與工程設(shè)計(jì)應(yīng)用

EDA技術(shù)創(chuàng)新演化與工程設(shè)計(jì)應(yīng)用

作者: 時(shí)間:2018-07-26 來(lái)源:網(wǎng)絡(luò) 收藏

發(fā)展至今已有30多年歷史。在的輔助下,我國(guó)設(shè)計(jì)水平得到明顯提升,電子產(chǎn)品的應(yīng)用性能也越來(lái)越理想化。本文圍繞設(shè)計(jì)展開(kāi)深入探討,為進(jìn)一步發(fā)揮EDA技術(shù)在設(shè)計(jì)中的應(yīng)用價(jià)值略盡綿力。

本文引用地址:http://www.butianyuan.cn/article/201807/384118.htm

1 EDA技術(shù)的誕生與演變歷程

1.1 EDA技術(shù)

EDA(Electronic Design Automation)是電子設(shè)計(jì)自動(dòng)化的簡(jiǎn)稱,是電子設(shè)計(jì)與制造技術(shù)發(fā)展中的核心。EDA技術(shù)是以計(jì)算機(jī)為工具,采用硬件描述語(yǔ)言的表達(dá)方式,對(duì)數(shù)據(jù)庫(kù)、計(jì)算數(shù)學(xué)、圖論、圖形學(xué)及拓?fù)溥壿?、?yōu)化理論等進(jìn)行科學(xué)、有效的融合,從而形成一種電子系統(tǒng)專用的新技術(shù),是計(jì)算機(jī)技術(shù)、信號(hào)處理技術(shù)、信號(hào)分析技術(shù)的最新成果。EDA技術(shù)的出現(xiàn)不僅更好地保證了電子工程設(shè)計(jì)各級(jí)別的仿真、調(diào)試和糾錯(cuò),為其發(fā)展帶來(lái)強(qiáng)有力的技術(shù)支持,并且在電子、通信、化工、航空航天、生物等各個(gè)領(lǐng)域占有越來(lái)越重要的地位,很大程度上減輕了相關(guān)從業(yè)者的工作強(qiáng)度。

1.2 EDA技術(shù)的演變歷程

EDA技術(shù)近幾年獲得飛速發(fā)展,應(yīng)用領(lǐng)域越來(lái)越廣泛,其發(fā)展過(guò)程是現(xiàn)代電子設(shè)計(jì)技術(shù)的重要?dú)v史進(jìn)程,主要包括以下幾個(gè)階段。

1.2.1 早期階段,即CAD(Computer ssistDesign)階段。20世紀(jì)70年代左右的社會(huì)已經(jīng)存在中小規(guī)模的集成電路,當(dāng)時(shí)人們采用傳統(tǒng)的方式進(jìn)行制圖,設(shè)計(jì)印刷電路板和集成電路,不僅效率低、花費(fèi)大,而且制作周期長(zhǎng)。人們?yōu)榱烁纳七@一情況,開(kāi)始運(yùn)用計(jì)算機(jī)對(duì)電路板進(jìn)行PCB設(shè)計(jì),用CAD這一嶄新的圖形編輯工具代替電子產(chǎn)品設(shè)計(jì)中布圖布線這類重復(fù)性較強(qiáng)的勞動(dòng),其功能包括設(shè)計(jì)規(guī)則檢查、交互圖形編輯、PCB布局布線、門(mén)級(jí)電路模擬和測(cè)試等。

1.2.2 發(fā)展階段,即CAE(ComputerAssist Engineering Design)階段。20世紀(jì)80年代左右,EDA技術(shù)已經(jīng)到了一定的發(fā)展和完善階段。由于集成電路規(guī)模逐漸擴(kuò)大,電子系統(tǒng)變得越發(fā)復(fù)雜,為了滿足市場(chǎng)需求,人們開(kāi)始對(duì)相關(guān)軟件進(jìn)行進(jìn)一步的開(kāi)發(fā),在把不同CDA工具合成一種系統(tǒng)的基礎(chǔ)上,完善了電路功能設(shè)計(jì)和結(jié)構(gòu)設(shè)計(jì)。EDA技術(shù)在此時(shí)期逐漸發(fā)展成半導(dǎo)體芯片的設(shè)計(jì),已經(jīng)能生產(chǎn)出可編程半導(dǎo)體芯片。

1.2.3 成熟階段。在20世紀(jì)90年代以后,微電子技術(shù)獲得了突飛猛進(jìn)的發(fā)展,集成幾千萬(wàn)乃至上億的晶體管只需一個(gè)芯片。這給EDA技術(shù)帶來(lái)了極大的挑戰(zhàn),促使各大公司對(duì)EDA軟件系統(tǒng)進(jìn)行更大規(guī)模的研發(fā),以高級(jí)語(yǔ)言描述、系統(tǒng)級(jí)仿真和綜合技術(shù)為特點(diǎn)的EDA就此出現(xiàn),使得EDA技術(shù)獲得了極大的突破。

1.3 發(fā)展趨勢(shì)

21世紀(jì)以來(lái),EDA技術(shù)已經(jīng)進(jìn)入了電子技術(shù)的全方位領(lǐng)域。EDA技術(shù)讓電子領(lǐng)域的不同學(xué)科的界限變得模糊,相互包容,尤其表現(xiàn)在以下幾個(gè)方面:實(shí)現(xiàn)了以自主知識(shí)產(chǎn)權(quán)的方式表達(dá)和確認(rèn)電子設(shè)計(jì)成果;進(jìn)一步確認(rèn)了電子行業(yè)產(chǎn)業(yè)領(lǐng)域中軟硬件IP核的地位;大規(guī)模電子系統(tǒng)和IP核模塊已被EDA工具的設(shè)計(jì)標(biāo)準(zhǔn)單元涵蓋;高效低成本設(shè)計(jì)技術(shù)SOC(Systern-on-Chip)等逐漸成熟。

2 EDA技術(shù)的特點(diǎn)

EDA技術(shù)是當(dāng)今電子設(shè)計(jì)技術(shù)最新發(fā)展方向的代表,硬件描述語(yǔ)言HDL為其基本特點(diǎn),相對(duì)于電原理圖,它更全面地展現(xiàn)了硬件電路的特性,具有系統(tǒng)仿真和綜合能力。其具體特點(diǎn)有以下幾點(diǎn):一是具有現(xiàn)代化特點(diǎn)。為了保證設(shè)計(jì)方案整體的合理和優(yōu)化,“自頂向下(Top-Down)”是EDA主要采用的一種設(shè)計(jì)程序,由此可避免在“自底向上(Bottom-up)”設(shè)計(jì)過(guò)程中使局部?jī)?yōu)化和整體結(jié)構(gòu)出現(xiàn)缺陷。二是自動(dòng)化程度高。在EDA設(shè)計(jì)過(guò)程中,能夠隨時(shí)進(jìn)行不同級(jí)的仿真、糾錯(cuò)和調(diào)試,可有效幫助設(shè)計(jì)者發(fā)現(xiàn)設(shè)計(jì)初期的錯(cuò)誤,從而節(jié)省設(shè)計(jì)工作時(shí)間,讓設(shè)計(jì)者不需顧慮一些具體細(xì)節(jié)問(wèn)題而集中精力在系統(tǒng)開(kāi)發(fā)上,從而保證設(shè)計(jì)的低成本、高效率,讓產(chǎn)品的周期縮短,循環(huán)加快。三是設(shè)計(jì)中HDL存在的優(yōu)點(diǎn)。①寬廣的語(yǔ)言描述能力;②眾多廠商支持、移植性好;③不需要花費(fèi)與工藝有關(guān)的時(shí)間和精力;④系統(tǒng)編程與現(xiàn)場(chǎng)編程方便了設(shè)計(jì)的保存、修改和再利用。四是操作并行。EDA技術(shù)的工作環(huán)境是工程框架結(jié)構(gòu)相并行的,可多人一起進(jìn)行電子系統(tǒng)的設(shè)計(jì)和開(kāi)發(fā)。

3 EDA技術(shù)在電子工程設(shè)計(jì)中的應(yīng)用

EDA技術(shù)是一門(mén)高速發(fā)展的新技術(shù),在電子工程設(shè)計(jì)中發(fā)揮著重要作用,具體表現(xiàn)在以下幾個(gè)方面。

3.1 確定電路設(shè)計(jì)方案的可行性

為了保證設(shè)計(jì)方案的可行性,在設(shè)計(jì)方案確定后,需要通過(guò)系統(tǒng)仿真或結(jié)構(gòu)模擬的方式來(lái)確定系統(tǒng)每個(gè)環(huán)節(jié)的傳遞函數(shù)(數(shù)學(xué)模型),從而實(shí)現(xiàn)驗(yàn)證。仿真之后,為了有效判斷正確電路結(jié)構(gòu)設(shè)計(jì)和性能指標(biāo)的可行性,將會(huì)對(duì)構(gòu)成系統(tǒng)的各電路結(jié)構(gòu)進(jìn)行模擬分析。在非電專業(yè)的系統(tǒng)設(shè)計(jì)或是某種新理論、新構(gòu)思的設(shè)計(jì)方案中也可應(yīng)用這種系統(tǒng)仿真技術(shù)。

3.2 電路特性的優(yōu)化設(shè)計(jì)

電子產(chǎn)品元器件的容差與其他同類產(chǎn)品相比要好很多,并且元器件工作環(huán)境溫度如果控制好了,那么電路運(yùn)行的穩(wěn)定性和安全性就得到了保證。但若使用傳統(tǒng)的電子工程設(shè)計(jì)方案,對(duì)元器件的容差、工作的環(huán)境溫度就很難進(jìn)行全面分析,而EDA技術(shù)所提供的統(tǒng)計(jì)分析功能和溫度分析功能就能有效地應(yīng)用到此處,溫度分析以及統(tǒng)計(jì)分析功能能夠精確地確定元器件的最佳參數(shù)、電路結(jié)構(gòu),能使元器件自身性能提高,從而調(diào)整與工作環(huán)境溫度的相適應(yīng)性,這樣就能夠有效地保證最佳的元器件容差和最好的工作環(huán)境溫度。因此,EDA技術(shù)能夠優(yōu)化電子工程設(shè)計(jì)方案,提高電子產(chǎn)品的使用質(zhì)量。

3.3 電路特性的有效分析

電路特性的有效分析是EDA技術(shù)中一項(xiàng)非常重要的內(nèi)容,在所有的電子工程設(shè)計(jì)中,數(shù)據(jù)測(cè)試和特性分析是所有理論分析建立的基礎(chǔ)。在實(shí)際設(shè)計(jì)的過(guò)程中,傳統(tǒng)方式受到技術(shù)和硬件方面的限制,測(cè)試結(jié)果和測(cè)試方法還存在諸多不足,電路測(cè)試的精確性也受到了影響,進(jìn)而導(dǎo)致產(chǎn)品的應(yīng)用性能大打折扣。而EDA技術(shù)的引進(jìn),能夠使這一系列問(wèn)題迎刃而解。在電子工程設(shè)計(jì)中,設(shè)計(jì)人員完全可以利用EDA技術(shù)對(duì)產(chǎn)品進(jìn)行更高精度和更全面的功能測(cè)試,還能通過(guò)自頂而下(Top-Down)的設(shè)計(jì)程序,有效避免設(shè)計(jì)方案的局部差距和結(jié)構(gòu)性差異,從而保證設(shè)計(jì)方案的整體性與合理性。同時(shí),在設(shè)計(jì)人員對(duì)電路進(jìn)行設(shè)計(jì)時(shí),會(huì)需要處理大量的多特性分析與數(shù)據(jù)測(cè)試工作,在EDA技術(shù)的幫助下,設(shè)計(jì)人員的工作內(nèi)容將明顯簡(jiǎn)化,工作性效率也將得到提升。

4 結(jié)語(yǔ)

綜上所述,EDA技術(shù)的問(wèn)世使電子工程設(shè)計(jì)提升到了新的高度。隨著科學(xué)技術(shù)的不斷發(fā)展,不斷進(jìn)步,EDA作為高層次自動(dòng)化設(shè)計(jì)技術(shù)的發(fā)展方向,其應(yīng)用前景自然是不可估量的。因此,有關(guān)該課題的研究今后仍需繼續(xù)跟進(jìn),為充分發(fā)揮出EDA技術(shù)的應(yīng)用價(jià)值,推動(dòng)我國(guó)電子工程產(chǎn)業(yè)不斷進(jìn)步做貢獻(xiàn)。



評(píng)論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉