新聞中心

EEPW首頁 > 嵌入式系統(tǒng) > 設(shè)計(jì)應(yīng)用 > CRC循環(huán)冗余校驗(yàn)的原理與算法及FPGA實(shí)現(xiàn)

CRC循環(huán)冗余校驗(yàn)的原理與算法及FPGA實(shí)現(xiàn)

作者: 時(shí)間:2018-08-09 來源:網(wǎng)絡(luò) 收藏

基本原理

本文引用地址:http://www.butianyuan.cn/article/201808/385979.htm

在串行數(shù)據(jù)流的最有效的檢錯(cuò)方案是(Cyclic Redundancy check)檢驗(yàn),校驗(yàn)最根本的原理就是將原始數(shù)據(jù)除以某個(gè)固定的數(shù),然后所得的余數(shù)就是CRC校驗(yàn)碼,根據(jù)校驗(yàn)碼位數(shù)的不同常用的CRC校驗(yàn)算法有:CRC8、CRC12、CCITT CRC16、ANSI CRC16、CRC32。這次我只實(shí)現(xiàn)了CRC8的算法,至于CRC16或CRC32下次再研究。

對(duì)于CRC的基本原理我們可以根據(jù)具體的硬件電路圖來理解,通常CRC循環(huán)冗余校驗(yàn)可以表示為帶有反饋的移位寄存器,移位寄存器的階數(shù)就是CRC字節(jié)的位數(shù)。另一種表示方法是將CRC表示為 X的多項(xiàng)式,X的冪次數(shù)就是CRC字節(jié)相應(yīng)的位數(shù),系數(shù)為“1”表示相對(duì)應(yīng)階數(shù)的寄存器有反饋,系數(shù)為“0”表示無反饋。

計(jì)算之前先將移位寄存器全部清零,然后將數(shù)據(jù)一位一位地串行方式輸入移位寄存器,當(dāng)所要計(jì)算的有用數(shù)據(jù)最后一位輸入后,此時(shí)移位寄存器中的值就是所輸入這段有用數(shù)據(jù)的CRC8校驗(yàn)值。

我們可以通過CRC8的兩個(gè)重要性質(zhì)來驗(yàn)證我們事先CRC8算法的正確性,這兩個(gè)性質(zhì)在接下來的仿真過程中要用到:

1)當(dāng)CRC8的移位寄存器的初始值為八位的數(shù)據(jù)A時(shí),如果將相同的8位數(shù)據(jù)A依次輸入給移位寄存器,寄存器將清零。也可以說成是A除以A余數(shù)為0。

2)當(dāng)CRC8的移位寄存器的初始值為八位的數(shù)據(jù) 時(shí),如果我們將 的反碼 依次輸入給移位寄存器,移位寄存器的結(jié)果將是35H,也就是十進(jìn)制的53。利用該特性可以對(duì)CRC8算法進(jìn)行驗(yàn)證。

算法實(shí)現(xiàn)

以上所介紹的這種串行移位寄存器的方式主要是幫助我們掌握CRC校驗(yàn)的基本原理,當(dāng)然實(shí)現(xiàn)上也可以用Verilog語言實(shí)現(xiàn)這種硬件電路,可想而知這種方式計(jì)算起來是相當(dāng)慢的,要1個(gè)clk計(jì)算1bit。常用的CRC8算法是查找表算法。

該算法是以一次輸入8位數(shù)據(jù)din為單位的,也就是說一個(gè)時(shí)鐘內(nèi)并行輸入一個(gè)字節(jié)數(shù)據(jù),下一個(gè)時(shí)鐘即可算出CRC8校驗(yàn)字節(jié)。利用Verilog語言先定義一個(gè)CRC8字節(jié)的寄存器,在CRC8寄存器內(nèi)容的基礎(chǔ)上,利用新輸入的8位數(shù)據(jù)計(jì)算新的CRC8字節(jié)來更新CRC8寄存器。如果CRC8寄存器初始值為0,那么輸入8位數(shù)據(jù)后計(jì)算得到的CRC8就有256種可能。因此,定義了一個(gè)查找表reg [7:0] CRC8_table[255:0]并初始化為如下所示:

下面說下實(shí)現(xiàn)該算法的過程:輸入的8位數(shù)據(jù)din即作為查找表CRC8_table的索引i = din,然后執(zhí)行CRC8 《= CRC8_table語句就得到了該字節(jié)的CRC8校驗(yàn)碼,然而以上過程的前提是CRC8寄存器初始化為0,若CRC8寄存器不為0,那么查找表的索引i 的計(jì)算應(yīng)為當(dāng)前CRC8與輸入數(shù)據(jù)的異或,即 i = CRC8^din,然后執(zhí)行語句CRC8 《= CRC8_table就得到了新的CRC8校驗(yàn)碼。依次循環(huán)處理每個(gè)字節(jié)。。。。。。

首先定義了個(gè)module

SCLK輸入時(shí)鐘,在上升沿對(duì)輸入數(shù)據(jù)din[7:0]采集,使能信號(hào)EN, 計(jì)算結(jié)果CRC8[7:0]

仿真結(jié)果:

1)輸入數(shù)據(jù)依次為:8‘h11 8‘h22 8‘h33 8‘h44 8‘h55 8‘h66 8‘h77 8‘h88 在最后一個(gè)字節(jié)的下一個(gè)時(shí)鐘上升沿得到校驗(yàn)結(jié)果為8’h7b

2)根據(jù)性質(zhì)一,如果我們繼續(xù)輸入8‘h7b,得到的結(jié)果將是8’h00

3)根據(jù)性質(zhì)二,8‘h7b的反碼是8’h84,如果在1)數(shù)據(jù)的基礎(chǔ)上繼續(xù)輸入8’h84,將得到8‘h35,在封裝IP核的過程中我們只需要上一步的.v文件,也就是CRC8_LookupTable.v文件。

1)打開vivado, 點(diǎn)擊 manage IP 創(chuàng)建新IP,如下圖:


2)選擇IP核工程路徑:CRC8_LUT_IP這個(gè)文件夾是之前創(chuàng)建的,以后我們所有的創(chuàng)建的文件都在這個(gè)文件下,這個(gè)路徑很重要


3)點(diǎn)擊finish后,在TOOL下拉菜單選擇Create and Package IP


4)點(diǎn)擊next,選擇Create New AXI4 Peripheral,注意默認(rèn)的路徑是 CRC8_LUT_IP/managed_ip_project ,這個(gè)事錯(cuò)誤的,如果在這個(gè)路徑下的話,在接下來的過程中會(huì)遇到錯(cuò)誤,將路徑改為: CRC8_LUT_IP下


上一頁 1 2 下一頁

關(guān)鍵詞: CRC 循環(huán)冗余 FPGA

評(píng)論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉