新聞中心

EEPW首頁 > EDA/PCB > 市場分析 > 晶圓代工龍頭,中芯國際:產(chǎn)能擴(kuò)張+技術(shù)追趕,國產(chǎn)替代任重道遠(yuǎn)

晶圓代工龍頭,中芯國際:產(chǎn)能擴(kuò)張+技術(shù)追趕,國產(chǎn)替代任重道遠(yuǎn)

作者: 時(shí)間:2023-05-15 來源:遠(yuǎn)瞻財(cái)經(jīng) 收藏

1. 中芯國際:國內(nèi)首屈一指的晶圓代工廠

1.1. 中國大陸集成電路自主制造的最先進(jìn)水平,產(chǎn)能擴(kuò)張穩(wěn)步推進(jìn)

本文引用地址:http://www.butianyuan.cn/article/202305/446545.htm

公司是世界領(lǐng)先的集成電路晶圓代工企業(yè)之一,向全球客戶提供 0.35μm 到 FinFET 不同技術(shù)節(jié)點(diǎn)的晶圓代工與技術(shù)服務(wù)。#中芯國際#


中芯國際總部位于中國上海,擁有全球化的制造和服務(wù)基地,還在美國、歐洲、日本和中國臺灣地區(qū)提供客戶服務(wù)并設(shè)立營銷辦事處。


2004 年 3 月 18 日,公司于中國香港聯(lián)合交易所主板上市,并 2020 年 7 月 16 日在上海證券交易所科創(chuàng)板鳴鑼上市。


中芯國際是中國大陸第一家實(shí)現(xiàn) 14nm FinFET 量產(chǎn)的晶圓代工企業(yè),代表中國大陸集成電路自主制造的最先進(jìn)水平。



公司主營業(yè)務(wù)為集成電路晶圓代工業(yè)務(wù),并提供設(shè)計(jì)服務(wù)與 IP 支持、光掩模制造等配套服務(wù)。在工藝技術(shù)方面,公司向全球客戶提供 0.35 微米到 14 納米,8 寸和 12 寸芯片代工與技術(shù)服務(wù)。


此外,公司還為客戶提供全方位的晶圓代工解決方案,包括光罩制造、IP 研發(fā)及后段輔助設(shè)計(jì)服務(wù)等一站式服務(wù)(包含凸塊加工服務(wù)、晶圓探測,以及最終的封裝、測試等),能夠更有效的幫助客戶降低成本,以縮短產(chǎn)品上市時(shí)間。



2010 年 7 月,中國信科成為公司第一大股東。


截至 2022 年 12 月 31 日,大唐控股持股比重為 14.11%,而大唐控股則由中國信科全資擁有,中國信科另持有 0.92%的公司股份,合計(jì)持有公司 1.189 億股股份,占公司股本總額的 15.03%,成為合計(jì)持有公司股份的第一大股東,中國信科是公司間接控股股東。因中國信科不存在實(shí)際控制人,故公司不存在實(shí)際控制人。


公司背靠中國信科,與股東實(shí)行軟硬結(jié)合的互動(dòng),始終秉承合規(guī)經(jīng)營、開放創(chuàng)新、共生共贏的態(tài)度,并攜手國內(nèi)外的產(chǎn)業(yè)鏈合作伙伴,共同建立和維護(hù)健康高效的半導(dǎo)體生態(tài)體系。



2022 年 3 月,公司委任高永崗先生為董事長。


高永崗先生曾任電信科學(xué)技術(shù)研究院總會(huì)計(jì)師、大唐電信集團(tuán)財(cái)務(wù)有限公司董事長,現(xiàn)任江蘇長電科技股份有限公司董事長與中國電子信息行業(yè)聯(lián)合會(huì)副會(huì)長,高先生在財(cái)務(wù)管理、投融資以及企業(yè)管理等領(lǐng)域有豐富經(jīng)驗(yàn),有助于公司繼續(xù)持續(xù)健康發(fā)展。


1.2. 公司產(chǎn)品結(jié)構(gòu)多樣,下游方向品類眾多


當(dāng)前,中芯國際的工藝平臺包含先進(jìn)邏輯平臺、成熟邏輯平臺以及特殊工藝平臺。其中,特殊工藝平臺主要用于生產(chǎn)電源、DDIC、射頻工藝技術(shù)、物聯(lián)網(wǎng)應(yīng)用平臺等專用領(lǐng)域的產(chǎn)品。先進(jìn)邏輯平臺主要用于人工智能、汽車電子和 5G 等專用領(lǐng)域。


而成熟邏輯平臺則生產(chǎn)應(yīng)用于手機(jī)基帶和應(yīng)用處理器、游戲、汽車、平板電腦、射頻等領(lǐng)域 的產(chǎn)品,產(chǎn)品包含 28nm、40nm、65/55nm 等尺寸。


1.3. 公司積極擴(kuò)充產(chǎn)能,加快推進(jìn)新廠建設(shè)


高水平資本支出支撐公司擴(kuò)產(chǎn)計(jì)劃與先進(jìn)制程突破。2022 年資本開支大幅上調(diào)至 63.5 億美元,2023 年資本支出預(yù)計(jì)維持同樣高水平。2022 年新產(chǎn)能逐步釋放的同時(shí)產(chǎn)能利用率保持高位達(dá)到 92%。四個(gè)成熟 12 英寸新廠項(xiàng)目建設(shè)穩(wěn)步進(jìn)行,其中中芯深圳已經(jīng)投產(chǎn),中芯京城進(jìn)入試產(chǎn)階段,中芯臨港主體結(jié)構(gòu)完成封頂,中芯西青開始土建。


深圳、臨港、京城三座晶圓廠奠定未來 3-5 年產(chǎn)能翻倍基礎(chǔ),在需求景氣度反轉(zhuǎn)的預(yù)期下,產(chǎn)能有序擴(kuò)張將成為業(yè)績增長的支柱。


1.4. 營收規(guī)模逐年上升,控費(fèi)水平穩(wěn)健


公司近五年?duì)I收穩(wěn)步上升,2017-2022CAGR 達(dá) 18.3%。2022 年,公司實(shí)現(xiàn)營業(yè)收入 495.2 億元,同比高增 39%;2022 年,公司實(shí)現(xiàn)歸母凈利潤 121.3 億元,同比增加 13%,2017-2022 年 CAGR 達(dá) 57.7%,收入業(yè)績均穩(wěn)定增長。


新產(chǎn)線的投產(chǎn)使得公司面臨較高的折舊壓力,歸屬于母公司股東的凈利潤水平較低,隨著產(chǎn)能擴(kuò)張公司盈利狀況有望持續(xù)改善。


公司毛利率、凈利率水平快速上升,控費(fèi)水平穩(wěn)健。


2022 年公司毛利率水平處于近五年來最高點(diǎn),達(dá)到 38.3%;凈利率水平也從 2017 年的 4.2%提升到 2022 年的 29.6%。主要原因系隨著公司產(chǎn)能逐步釋放,規(guī)模效益體現(xiàn),費(fèi)率水平將維持低位,尤其是銷售費(fèi)用率始終維持在 1%以下,優(yōu)于行業(yè)平均水平。


高強(qiáng)度研發(fā)投入推動(dòng)先進(jìn)制程研發(fā)。


2022 年公司研發(fā)費(fèi)用達(dá) 49.53 億,同比增長 20.2%;研發(fā)團(tuán)隊(duì)擴(kuò)充至 2326 人,同比增長 32.31%,占公司員工總數(shù)的 10.8%。


公司 28nm 高壓顯示驅(qū)動(dòng)工藝平臺、55nm BCD 平臺第一階段、90 nmBCD 工藝平臺和 0.11 μm 硅基 OLED 工藝平臺已完成研發(fā),進(jìn)入小批量試產(chǎn);多個(gè)平臺項(xiàng)目開發(fā)按計(jì)劃進(jìn)行,包括中國大陸領(lǐng)先的 28nm HKD 超低功耗平臺、40nm 嵌入式存儲(chǔ)工藝汽車平臺、4X nm NOR Flash 工藝平臺、40nm 超低功耗平臺優(yōu)化、55nm 高壓顯示驅(qū)動(dòng)汽車工藝平臺等。


截至 2022 年底,中芯國際已累計(jì)申請專利 18799 件,累計(jì)授權(quán) 12869 件,申請和授權(quán)專利的數(shù)量均在中國大陸半導(dǎo)體產(chǎn)業(yè)領(lǐng)先。


公司下游分布以通訊產(chǎn)品和消費(fèi)品為主,國產(chǎn)化趨勢下國內(nèi)收入占比不斷提升。


2019 年,公司下游分布中,通訊領(lǐng)域占比維持在 57%左右,消費(fèi)領(lǐng)域占比維持在 43% 左右。


從地域劃分上看,公司在中國大陸及香港地區(qū)的收入占比不斷提升,從 2017 年的 45.4%提升至 2022 年的 73.2%,平均每年提升 5.4%,原因系半導(dǎo)體產(chǎn)業(yè)國產(chǎn)替代化進(jìn)程加速。


晶圓應(yīng)用領(lǐng)域消費(fèi)電子、智能家居占比提升,12 英寸晶圓收入為公司主要收入來源。


2022 年,晶圓收入按尺寸來分,8 英寸和 12 英寸收入占比分別為 33%和 67%,收入金額同比增長 24%和 42%;晶圓收入按應(yīng)用來分,智能手機(jī)、消費(fèi)電子、智能家居、其他應(yīng)用占比分別為 27%、23%、14%和 36%。


收入金額同比增長 14%、32%、48%和54%。其中,消費(fèi)電子和智能家居的增長主要來自于家用電器和有線、無線連接、工業(yè)物聯(lián)網(wǎng)等應(yīng)用。


2. 半導(dǎo)體行業(yè)靜待筑底反彈,國產(chǎn)替代空間廣闊


2.1. 半導(dǎo)體行業(yè)景氣度筑底,2023 下半年有望迎來拐點(diǎn)


半導(dǎo)體產(chǎn)業(yè)景氣度 23Q3 有望迎反彈。受整體需求影響,全球半導(dǎo)體行業(yè)的銷售額連續(xù) 7 個(gè)月同比下滑,行業(yè)景氣度筑底。



半導(dǎo)體市場上一次負(fù)增長出現(xiàn)在 2020 年 1 月,目前半導(dǎo)體市場規(guī)模經(jīng)過 7 個(gè)月的下滑回到 2020 年 9 月的水平。

伴隨經(jīng)濟(jì)回暖及消費(fèi)復(fù)蘇,行業(yè)龍頭廠商如應(yīng)材、泛林、東電等半導(dǎo)體設(shè)備大廠均預(yù)期市場會(huì)在 2023 年下半年迎來復(fù)蘇。



臺積電表示公司預(yù)期半導(dǎo)體供應(yīng)鏈庫存過高的現(xiàn)象,將需要幾個(gè)季度的時(shí)間來重新平衡,逐漸回到至健康的水準(zhǔn),預(yù)計(jì)會(huì)調(diào)整到 2023 年上半;環(huán)旭電子也表示 22Q3 公司庫存水位“還是比較高的”,主要是大客戶業(yè)務(wù)旺季備料所致,22Q4 存貨已回落至合理水位,存貨周轉(zhuǎn)天數(shù)可以降至 48 天,維持在相當(dāng)健康的水平;日月光投控預(yù)估 “23Q1 車用和網(wǎng)通應(yīng)用持續(xù)強(qiáng)勁,不過產(chǎn)業(yè)庫存調(diào)整修正將延續(xù)到明年上半年”。


半導(dǎo)體行業(yè)下游應(yīng)用市場廣泛,具體來看:


1)智能手機(jī):IDC 認(rèn)為 2023 年全球、中國市場出貨量皆同比下降 1.1%,但 23 下半年可能會(huì)有一定反彈,反彈趨勢會(huì)延伸到明年,預(yù)計(jì) 2024 年全球/國內(nèi)出貨量將分別同比增長 5.9%/6.2%;


2)PC:2023 年 PC 出貨量預(yù)計(jì)將達(dá)到 4.03 億臺,2027 年預(yù)計(jì)將達(dá) 4.35 億臺,2023-2027 年 CAGR 為 1.9%;


3)可穿戴設(shè)備:根據(jù) IDC 數(shù)據(jù) 2023 年出貨量將達(dá)到 5.23 億臺,預(yù)計(jì) 2027 年將 達(dá) 6.45 億臺,2023-2027 年 CAGR 為 5.4%;


4)新能源車:2022 年市場規(guī)模將達(dá) 523 萬輛,同比增長 47.2%。2023 年以后隨著補(bǔ)貼退坡,市場將回落到較為平穩(wěn)的增長水平,2025 年有望達(dá)到約 1299 萬輛,2021- 2025 年 CAGR 為 38%。


預(yù)計(jì) 2023 年下游消費(fèi)電子市場將進(jìn)入弱復(fù)蘇,新能源車等新興領(lǐng)域?qū)⒊尸F(xiàn)高景氣度。



AI 趨勢下對高算力芯片、存儲(chǔ)芯片的增量需求拉動(dòng)高制程晶圓需求。


根據(jù)億歐智庫測算,2025 年中國人工智能核心產(chǎn)業(yè)市場規(guī)模將達(dá)到 4000 億元 2019-2025CAGR 預(yù)計(jì)達(dá) 31.2%;中國 AI 芯片市場規(guī)模將達(dá) 1780 億元,2019-2025CAGR 預(yù)計(jì)為 42.9%。


AI 技術(shù)蓬勃發(fā)展和廣泛應(yīng)用,對高性能計(jì)算能力的需求空前旺盛。


隨著 AI 模型復(fù)雜度的增加和參數(shù)量的指數(shù)級擴(kuò)張,對計(jì)算能力的要求不斷提高。例如預(yù)計(jì)在 2024 年底至 2025 年發(fā)布的 GPT-5,其參數(shù)量將是 GPT-3 的 100 倍,所需算力為 GPT-3 的 200-400 倍,高性能 AI 芯片在滿足這種日益增長的算力需求方面具有不可替代的作用。同時(shí)將帶動(dòng)作為芯片生產(chǎn)原料的晶圓需求水漲船高。



2.2. 晶圓代工市場寡頭集中,國產(chǎn)替代任重道遠(yuǎn)


2.2.1. 臺積電開啟晶圓代工時(shí)代,成為半導(dǎo)體制造主流模式


臺積電開啟晶圓代工時(shí)代,成為集成電路中最為重要的一個(gè)環(huán)節(jié)。1987 年,臺積電的成立開啟了晶圓代工時(shí)代,尤其在得到了英特爾的認(rèn)證以后,晶圓代工被更多的半導(dǎo)體廠商所接受。晶圓代工打破了 IDM 單一模式,成就了晶圓代工+IC 設(shè)計(jì)模式。


目前,半導(dǎo)體行業(yè)垂直分工成為了主流,新進(jìn)入者大多數(shù)擁抱 fabless 模式,部分 IDM 廠商也在逐漸走向 fabless 或者 fablite 模式。


晶圓代工商業(yè)模式,大幅降低了芯片設(shè)計(jì)行業(yè)的資本門檻,推動(dòng)全球芯片設(shè)計(jì)快速崛起,2018 年已經(jīng)取代 IDM(垂直整合模式)成為半導(dǎo)體制造主流模式。



臺積電開創(chuàng)的晶圓代工業(yè)務(wù)模式獲得成功。


從兩個(gè)方面影響著行業(yè)發(fā)展的變化趨勢:一方面亞洲(除日本外)半導(dǎo)體產(chǎn)業(yè)通過效仿臺積電建立生產(chǎn)基地承接晶圓代工業(yè)務(wù)以及封裝測試外包業(yè)務(wù)的方式,在全球化的趨勢中獲得了自身定位;另一方面,傳統(tǒng)半導(dǎo)體廠商持續(xù)向輕資產(chǎn)的設(shè)計(jì)公司轉(zhuǎn)型,為晶圓代工和封裝測試外包業(yè)務(wù)提供了更多的需求。供需兩端均在推動(dòng)以晶圓代工和封裝測試為業(yè)務(wù)模式的分工合作方式轉(zhuǎn)化,推動(dòng)產(chǎn)業(yè)鏈全球化。


而產(chǎn)業(yè)鏈全球化最終的而結(jié)果也使得產(chǎn)業(yè)投資更加均勻。晶圓代工模式在中國臺灣、中國大陸、韓國以及東南亞地區(qū)迅速迎來了追隨者,對于亞洲半導(dǎo)體行業(yè)的發(fā)展起到了重要的推動(dòng)作用,聯(lián)華電子、中芯國際、東部高科等企業(yè)紛紛開設(shè)晶圓代工業(yè)務(wù)。

2021 年全球晶圓代工市場規(guī)模達(dá) 1101 億美元,占全球半導(dǎo)體市場約 26%,預(yù)計(jì) 2023 年將達(dá)到 1400 億美元,2016-2023 年 CAGR 達(dá) 11.5%。當(dāng)前已進(jìn)入物聯(lián)網(wǎng)時(shí)代,在 5G、人工智能、大數(shù)據(jù)強(qiáng)勁需求下,晶圓代工行業(yè)有望保持持續(xù)快速增長。


中國晶圓代工市場規(guī)模從 2017 年的 355 億元增長到 2023 年預(yù)計(jì)的 903 億元,CAGR 達(dá) 16.8%。


預(yù)計(jì)到 2026 年,中國大陸代工企業(yè)將占據(jù)全球純代工市場 8.8%的份額,比 2006 年 11.4%的峰值份額低 2.6%。


以臺積電為例,2022 年,其營業(yè)收入 20766 億元,同比高增 37%,2006-2022 年 CAGR 超 30%。

2.2.2. 晶圓代工行業(yè)呈現(xiàn)寡頭集中


晶圓代工行業(yè)呈現(xiàn)寡頭集中。晶圓代工是制造業(yè)的顛覆,呈現(xiàn)資金壁壘高、技術(shù)難度大、技術(shù)迭代快等特點(diǎn),也因此導(dǎo)致了行業(yè)呈現(xiàn)寡頭集中,CR5 超 90%,其中臺積電是晶圓代工行業(yè)絕對的領(lǐng)導(dǎo)者,營收占比超過 50%。

晶圓代工行業(yè)資金壁壘高。晶圓代工廠的資本性支出巨大,并且隨著制程的提升,代工廠的資本支出中樞不斷提升。


臺積電資本支出從 17 年的 736.9 億元增長到 22 年的 2477 億美元,CAGR 為 19%。中芯國際資本性支出從 17 年的 158 億元增長到了 22 年 的 422 億元,CAGR 為 15%。巨額投資將眾多追趕者擋在門外,新進(jìn)入者難度極大。隨著制程提升,晶圓代工難度顯著提升。隨著代工制程的提升,晶體管工藝、光刻、沉積、刻蝕、檢測、封裝等技術(shù)需要全面創(chuàng)新。


以光刻環(huán)節(jié)為例,制程提升,光刻機(jī)性能需要持續(xù)提升。光刻機(jī)的精度決定了制程的精度。


第四代深紫外光刻機(jī) DUV 能實(shí)現(xiàn)最小 10nm 工藝節(jié)點(diǎn)芯片的生產(chǎn),更為先進(jìn)的 EUV 光刻機(jī)用于 7/5nm 工藝,高數(shù)值孔徑 EUV(high-NAEUV)的 EUV 光刻新技術(shù)面向 3nm 及更先進(jìn)的工藝。目前 EUV 光刻機(jī)產(chǎn)量有限而且價(jià)格昂貴,全球僅 ASML 一家具備生產(chǎn)能力,2022 年全年,ASMLEUV 銷量僅為 55 臺,單臺 EUV 售價(jià)高達(dá) 2 億美元。

晶圓代工技術(shù)迭代快,利于頭部代工廠。芯片制程的演化從 1987 年的 1um 制程持續(xù)到最近幾年的 12nm、7nm、5nm,都在按照摩爾定律演進(jìn)。臺積電在 2018 年推出 7nm 先進(jìn)工藝,2020 年開始量產(chǎn) 5nm,2022 年開始量產(chǎn) 3nm,預(yù)計(jì) 2025 年量產(chǎn) 2nm 工藝。芯片制程工藝已接近物理尺寸的極限 1nm,芯片產(chǎn)業(yè)邁入了后摩爾時(shí)代。在現(xiàn)在的時(shí)間點(diǎn)上來看,摩爾定律仍然在維持,但進(jìn)一步推動(dòng)摩爾定律難度會(huì)顯著提升。



芯片制程進(jìn)入 90nm 節(jié)點(diǎn)以后,技術(shù)迭代變快,新的制程幾乎每兩到三年就會(huì)出現(xiàn)。


先進(jìn)制程不但需要持續(xù)的研發(fā)投入,也需要持續(xù)的巨額資本性支出,而且新投入的設(shè)備折舊很快,以臺積電為例,新設(shè)備折舊年限為 5 年,5 年以后設(shè)備折舊完成,生產(chǎn)成本會(huì)大幅度下降。頭部廠商完成折舊以后會(huì)迅速降低代工價(jià)格,后進(jìn)入者難以盈利。



2.3. 成熟工藝仍是主流,國內(nèi)外廠商加碼布局


不堪巨額資本投入,聯(lián)電和格羅方德退出先進(jìn)制程競爭。由于高昂的資本支出和技術(shù)壁壘,聯(lián)電和格羅方德分別宣布退出先進(jìn)制程競爭:聯(lián)電在 2017 年宣布未來經(jīng)營策略將著重在成熟制程;格羅方德也在 2018 年末宣布無限期暫緩 7nm 制程研發(fā),并將資源轉(zhuǎn)而投入在相對成熟的制程服務(wù)上。


未來推動(dòng)更加先進(jìn)制程的領(lǐng)跑者,將只剩臺積電、三星、英特爾,而中芯國際作為持續(xù)投入的趕超者,也將先進(jìn)制程的競爭隊(duì)伍。


圖32:不同先進(jìn)制程對應(yīng)廠商



成熟制程長期占比將維持在 7 成以上。


成熟制程是全球需求最大,也是造成此前“缺芯”的主要芯片。雖然智能手機(jī)、PC 等領(lǐng)域主要需要先進(jìn)制程,但在物聯(lián)網(wǎng)、智能家居、汽車電子、通信、醫(yī)療、智能交通、航空航天等領(lǐng)域則主要依賴成熟制程芯片。


根據(jù) TrendForce 顯示,2021 年晶圓代工廠中,成熟制程仍占據(jù) 76%的市場份額。由于先進(jìn)制程受限于成本和技術(shù)普及率較低,成熟制程占比將維持在 7 成以上。


國內(nèi)外晶圓廠商紛紛發(fā)力成熟制程。


從預(yù)計(jì)新建產(chǎn)能來看,2022 年各晶圓代工廠多半將擴(kuò)產(chǎn)重心放置于 12 英寸(28nm 及以上)晶圓產(chǎn)能,而主要擴(kuò)產(chǎn)動(dòng)能來自于臺積電、聯(lián)電、中芯國際、華虹等。后續(xù)國內(nèi)的擴(kuò)產(chǎn)主力為基于國產(chǎn)可控技術(shù)的成熟工藝,在 CHIPLET 異構(gòu)集成的大潮下,可以用成熟工藝+先進(jìn)封裝來實(shí)現(xiàn)部分先進(jìn)工藝。


2.4. 半導(dǎo)體產(chǎn)業(yè)鏈國產(chǎn)替代任重道遠(yuǎn)


2019 年以來,美國政府試圖限制中國集成電路產(chǎn)業(yè)的發(fā)展, 并極具針對性地對中 國半導(dǎo)體產(chǎn)業(yè)上游的半導(dǎo)體設(shè)備、半導(dǎo)體材料、先進(jìn)制造等薄弱環(huán)節(jié)展開技術(shù)封鎖和 圍剿,試圖將中國集成電路產(chǎn)業(yè)孤立在全球供應(yīng)鏈體系之外。


圖35:美國針對中國半導(dǎo)體產(chǎn)業(yè)薄弱環(huán)節(jié)技術(shù)封鎖內(nèi)容及其影響



近幾年,中國連續(xù)出臺系列支持政策,在財(cái)稅、投融資、研究開發(fā)、人才、知識產(chǎn)權(quán)等領(lǐng)域給予集成電路產(chǎn)業(yè)諸多優(yōu)惠政策,各地方政府也陸續(xù)推出支持集成電路產(chǎn)業(yè)發(fā)展的政策文件;與此同時(shí),國家成立集成電路產(chǎn)業(yè)基金(大基金),并進(jìn)一步帶動(dòng)社會(huì)資本在集成電路領(lǐng)域的投資;其中,大基金一期主要集中于設(shè)計(jì)、制造、封測等領(lǐng)域;大基金二期制造環(huán)節(jié)占比依然最重,但將更加重視材料、設(shè)備等上游產(chǎn)業(yè)鏈中“卡脖子”的關(guān)鍵領(lǐng)域。



就晶圓制造環(huán)節(jié)而言,2019 年,生產(chǎn)所需的半導(dǎo)體設(shè)備、原材料、零部件自給率不到 10%,除清洗等極少數(shù)環(huán)節(jié)中國企業(yè)具備 14nm、28nm 制程技術(shù)能力外,絕大部分環(huán)節(jié)中國企業(yè)僅能滿足 28nm 以上制程技術(shù)需求。



材、部、裝是美西方國家“卡脖子” 最關(guān)鍵領(lǐng)域之一。先進(jìn)半導(dǎo)體設(shè)備技術(shù)主要由美歐日等國主導(dǎo)。



美國的刻蝕設(shè)備、離子注入機(jī)、薄膜沉積設(shè)備、測試設(shè)備、程序控制、CMP 等設(shè)備的制造技術(shù)位于世界前列;荷蘭憑借 ASML 的高端光刻機(jī)在全球處于領(lǐng)先地位;日本在刻蝕設(shè)備、清洗設(shè)備、測試設(shè)備等方面具有競爭優(yōu)勢。SEMI 報(bào)告數(shù)據(jù)顯示,國內(nèi)半導(dǎo)體設(shè)備國產(chǎn)化率從 2021 年的 21% 提升至 2022 年的 35%。



從設(shè)備類型來看,我國在去膠、清洗、熱處理、刻蝕及 CMP 領(lǐng)域內(nèi)國產(chǎn)替代率較高,均高于 40%,但在價(jià)值量較高設(shè)備領(lǐng)域內(nèi)國產(chǎn)化率較低,如光刻、離子注入等領(lǐng)域國產(chǎn)化率合計(jì)不足 5%。



當(dāng)前北方華創(chuàng)、中微、盛美、拓荊等國內(nèi)半導(dǎo)體設(shè)備廠商的產(chǎn)品滿足成熟工藝的標(biāo)準(zhǔn),半導(dǎo)體設(shè)備國產(chǎn)化率有望不斷提升。



我國半導(dǎo)體材料國產(chǎn)替代率較低,核心材料加速國產(chǎn)化日益迫切。



半導(dǎo)體材料包括晶圓制造材料和封裝材料。其中晶圓制造材料包括硅片、掩模板、電子氣體、光刻膠、CMP 拋光材料、濕電子化學(xué)品、靶材等,封裝材料包括封裝基板、引線框架、鍵合絲、包封材料、陶瓷基板、芯片粘結(jié)材料和其他封裝材料。



細(xì)分來看,我國在壁壘較低的封裝材料市占率相對較高,而在光刻膠、濕電子化學(xué)品等晶圓制造材料市占率極低。



封裝材料中除芯片粘結(jié)材料不到 5%,其他材料的國產(chǎn)化率不到 30%;而半導(dǎo)體材料中除掩模板、拋光材料、靶材的國產(chǎn)化率達(dá)到 20%,其他材料均不到 10%??紤]中美貿(mào)易摩擦、信越斷供等外部沖擊對于國內(nèi)半導(dǎo)體產(chǎn)業(yè)鏈的影響,國內(nèi)晶圓廠商給予本土半導(dǎo)體材料廠商更多驗(yàn)證機(jī)會(huì),有望進(jìn)一步催化國內(nèi)材料公司實(shí)現(xiàn)“從 0 到 1” 的突破。



3. 中芯國際:成熟制程貢獻(xiàn)主要收入,先進(jìn)制程加速追趕



3.1. 2023 年下半年產(chǎn)能利用率有望觸底回升



公司產(chǎn)能布局結(jié)構(gòu)合理,產(chǎn)品結(jié)構(gòu)豐富。中芯國際在北上深津各有晶圓生產(chǎn)廠分布,其中在深圳有一座 8 英寸廠。



制程為 0.18μm~0.13μm;天津的晶圓廠制程為 0.35μm~0.15μm。而上海有一座 12 英寸晶圓廠和一座 8 英寸晶圓廠,北京則有兩座 12 英寸晶圓廠。中芯南方也位于上海,主要用于生產(chǎn) 12 英寸先進(jìn)制程。



受半導(dǎo)體行業(yè)周期波動(dòng)影響,產(chǎn)能利用率有望于 23H2 探底回升。中芯國際的產(chǎn)能利用率在過去十年間經(jīng)歷了數(shù)次周期波動(dòng)。14Q1 公司產(chǎn)能利用率降至 84.2%,觸達(dá)底部之后快速反彈;17Q2 公司產(chǎn)能利用率再降至 83.9%,觸達(dá)周期底部后也迅速回暖;22Q4 公司 8 英寸晶圓當(dāng)季出貨量已降至 1574068 片,且公司產(chǎn)能利用率降為 79.5%,23H2 有望探底回升。



展望 2023 年,智能手機(jī)和消費(fèi)電子行業(yè)回暖需要時(shí)間,工業(yè)領(lǐng)域相對穩(wěn)健,汽車行業(yè)電子增量需求經(jīng)可以抵消部分手機(jī)和消費(fèi)電子疲弱的負(fù)面影響。



上半年行業(yè)周期尚在底部,外部不確定因素帶來的影響依然復(fù)雜。雖然下半年可見度依然不高,但公司已經(jīng)感受到客戶信心的些許回升,新產(chǎn)品流片的儲(chǔ)備相對飽滿。



我們預(yù)計(jì)隨著消費(fèi)需求回溫、再加上芯片公司愈加傾向于本土晶圓代工的趨勢,在未來 2-3 個(gè)季度內(nèi),公司現(xiàn)有產(chǎn)能利用率有望觸底回升。



根據(jù)公司 2022 年業(yè)績說明會(huì),公司 1Q23 給出的指引是收入預(yù)計(jì)環(huán)比下降 10%- 12%,毛利率受產(chǎn)能利用率降低和折舊上升等影響,預(yù)計(jì)降到 19%-21%。



地域外部環(huán)境相對穩(wěn)定的前提下,公司預(yù)計(jì) 2023 全年銷售收入同比降幅為低十位數(shù),毛利率在 20% 左右,折舊同比增長超過兩成。資本開支與上一年相比大致持平,主要用于成熟產(chǎn)能擴(kuò)廠以及新廠基建。公司將尾部推進(jìn) 4 個(gè)成熟 12 寸英工廠的產(chǎn)能建設(shè)。



由于全球各區(qū)域都啟動(dòng)了在地建設(shè)晶圓廠的計(jì)劃,所以主要設(shè)備的供應(yīng)鏈依然緊張,預(yù)計(jì)到 23 年底月產(chǎn)能的增量與上一年相近 (9.3 萬片/月,相當(dāng)于 2022 年年底產(chǎn)能的 13%)。



3.2. 特殊工藝以及成熟邏輯平臺貢獻(xiàn)公司主要收入



中芯國際的主要收入來源于特殊工藝以及成熟邏輯平臺。



公司為客戶提供基于多種技術(shù)節(jié)點(diǎn)的集成電路晶圓代工以及配套服務(wù)。其晶圓代工業(yè)務(wù)是以 8 英寸和 12 英寸的晶圓為基礎(chǔ),截至 2022 年末,8 英寸晶圓收入占比為 33.0%,12 英寸晶圓收入占比為 67.0%,12 英寸的收入較去年同期相比有所提高。



按技術(shù)節(jié)點(diǎn)進(jìn)行劃分,公司的收 入主要來源于 0.18μm 以及 55/65nm。



從 2015-2021 年的營收結(jié)構(gòu)看,中芯國際 55/65nm 以及 0.18μm 這兩個(gè)技術(shù)節(jié)點(diǎn)的收入之和均超過了一半的份額。公司非常注重發(fā)展特殊工藝與成熟邏輯平臺上的特質(zhì)產(chǎn)品,提供不同平臺制程工藝設(shè)計(jì)和制造服務(wù),包括混合信號/CMOS 射頻電路、非易失性存儲(chǔ)器(NVM)、高壓電路、DDIC、系統(tǒng)級芯片、閃存內(nèi)存、影像傳感器,以及硅上液晶微顯示技術(shù)等。



成熟制程具備國際競爭力。



在成熟邏輯工藝領(lǐng)域,中芯國際是中國大陸第一家提 供 0.18/0.15um、0.13/0.11um、90nm、65/55nm、45/40nm 和 28nm 技術(shù)節(jié)點(diǎn)的代工廠。



公司 28nm 包含傳統(tǒng)多晶硅和后柵極的高介電常數(shù)金屬柵極制程,45/40nm、65/55nm 和 90nm 實(shí)現(xiàn)高性能和低功耗的融合,0.13/0.11um 和 0.18/0.15um 實(shí)現(xiàn)全銅和全鋁制程,產(chǎn)品覆蓋處理器、移動(dòng)基帶、WiFi、數(shù)字電視、機(jī)頂盒、智能卡、消費(fèi)性產(chǎn)品等領(lǐng)域,在 28/40/45/55/65nm 和 0.11/0.13/0.15/0.18um 制程處于國際領(lǐng)先水平,在 90nm 和 0.25/0.35um 制程處于國內(nèi)領(lǐng)先水平。



根據(jù) Counterpoint 數(shù)據(jù),預(yù)計(jì) 2021 年全球成熟制 程晶圓廠中,按產(chǎn)能劃分,中芯國際以 11%的份額排名第三,具備較強(qiáng)競爭力。



未來 2-3 年內(nèi)中芯國際成熟制程有望持續(xù)擴(kuò)產(chǎn)。



中芯國際 2021 年成熟制程擴(kuò)產(chǎn)達(dá)等效 8 英寸 10 萬片/月,2022 年擴(kuò)產(chǎn)達(dá)等效 8 英寸 9.3 萬片,并且擴(kuò)充的產(chǎn)能均為成熟制程。



公司指引 23 年 capex 投入和新增產(chǎn)能都與 22 年持平,即約投入 63 億美金,新增 9.3w 片約當(dāng) 8 寸產(chǎn)能。



中芯國際在北京、上海臨港、深圳建設(shè)的三條 12 寸新產(chǎn)線均面向 28nm 及以上成熟制程,總設(shè)計(jì)產(chǎn)能達(dá) 24 萬片/月,2022 年四個(gè)成熟 12 英寸新廠項(xiàng)目建設(shè)穩(wěn)步進(jìn)行,其中中芯深圳已經(jīng)投產(chǎn),中芯京城進(jìn)入試產(chǎn)階段(中芯京城關(guān)鍵機(jī)臺的交付延遲,量產(chǎn)時(shí)間預(yù)計(jì)推遲 1-2 個(gè)季度),中芯臨港主體結(jié)構(gòu)完成封頂,中芯西青開始土建。



特色工藝具備較強(qiáng)競爭力,覆蓋下游主要應(yīng)用領(lǐng)域:中芯國際特色工藝覆蓋電源/模擬芯片、DDIC、IGBT、存儲(chǔ)、射頻等,制程覆蓋 0.35um~24nm,下游領(lǐng)域涵蓋手機(jī)、快充等消費(fèi)電子,白電、工業(yè)變頻、軌交等工業(yè)領(lǐng)域,電動(dòng)汽車、智能電網(wǎng)等新能源領(lǐng)域和無線通訊、IoT 等通信領(lǐng)域。



3.3. 先進(jìn)制程:國內(nèi)唯一先進(jìn)制程晶圓廠,國產(chǎn)替代及 AI 趨勢的奠基者



在半導(dǎo)體制造過程中,MOS 結(jié)構(gòu)選用方面,目前主要有 Planar FET(傳統(tǒng)平面型晶體管技術(shù))、FinFET(鰭式場效應(yīng)晶體管)、GAAFET(環(huán)繞柵極技術(shù),包括 MBCFET)三種形式。結(jié)構(gòu)的改進(jìn)主要用來解決半導(dǎo)體制程不斷縮小帶來的短溝道效應(yīng)(DIBL、遷移率退化)、柵極漏電、泄漏功率大等問題。



在成本和效能等多方面的權(quán)衡下,Planar FET 主要用于相對成熟制程,F(xiàn)inFET 為 5nm-22nm 的主流結(jié)構(gòu),GAAFET 結(jié)構(gòu)有望成為 3nm 及以下制程的主流。



FinFET 技術(shù)可沿用至 5nm,公司有望加速突破制程。



三星電子 2019 年宣布完成了 5nm FinFET 工藝的研發(fā)工作,并宣布將在 3nm 的節(jié)點(diǎn)上采用 GAAFET 工藝,意味著 FinFET 結(jié)構(gòu)至少可以沿用到 5nm 的節(jié)點(diǎn),在之后的制程工藝研發(fā)上,中芯國際依然可以沿用 FinFET 技術(shù)的研發(fā)成果,未來制程升級有望加速。



先進(jìn)制程唯一挑戰(zhàn)者,打開未來升級通道。隨著先進(jìn)制程工藝成本不斷上升,僅少數(shù)廠商能負(fù)擔(dān)轉(zhuǎn)向高級技術(shù)節(jié)點(diǎn)的費(fèi)用,先進(jìn)制程賽道競爭者數(shù)量大幅下降。格羅方德于 2018 年宣布暫緩 7 納米先進(jìn)制程的開發(fā),聯(lián)華電子也不再投資 12nm 以下的先進(jìn)制程,當(dāng)前只有臺積電、三星、英特爾仍留在先進(jìn)制程賽道上,公司是唯一的先進(jìn)制程挑戰(zhàn)者。



中芯國際在 2019 年下半年正式開始量產(chǎn) 14nm FinFET,成為繼臺積電、三星、格羅方德、聯(lián)電后的第五家掌握該技術(shù)并能夠量產(chǎn)的廠商。



中芯國際目前 SN1(即中芯南方上海 FinFET 工廠一期)為 14nm 制程主要承載主體,盡管目前 SMIC 先進(jìn)制程發(fā)展受制于美國實(shí)體清單等因素影響,但中芯南方在資本投入方面擁有長期規(guī)劃,并且在人才與技術(shù)上相較其他中國大陸廠家具有一定優(yōu)勢,擁有豐富的客戶資源和應(yīng)用平臺,長期發(fā)展向好。



先進(jìn)產(chǎn)能稀缺性凸顯,公司有望成為 AI 趨勢的奠基者。



近期以 ChatGPT 為代表的 AI 科技日益火爆,而訓(xùn)練 AI 需要高性能的 CPU、GPU 及存儲(chǔ)芯片,AI 科技革命驅(qū)動(dòng)先進(jìn)制程產(chǎn)能需求的提升將帶動(dòng)全球晶圓代工需求增長。



作為中國半導(dǎo)體產(chǎn)業(yè)內(nèi)循環(huán)的重心以及中國大陸唯一具備先進(jìn)制程產(chǎn)能的晶圓廠,中芯國際的重要性與投資價(jià)值日益凸顯,在未來有望成為 AI 趨勢的奠基者。



從中長期看,愈加廣泛的數(shù)字化、智能化和綠色化的時(shí)代,對芯片的需求依然在持續(xù)增長。



公司將順勢而為,把握產(chǎn)業(yè)規(guī)律,夯實(shí)細(xì)分領(lǐng)域領(lǐng)先優(yōu)勢,加強(qiáng)與全球客戶和系統(tǒng)公司的中長期捆綁合作,推動(dòng)產(chǎn)業(yè)鏈上下游合作共贏。



先進(jìn)產(chǎn)能稀缺性凸顯,公司有望成為 AI 趨勢的奠基者。



近期以 ChatGPT 為代表的 AI 科技日益火爆,而訓(xùn)練 AI 需要高性能的 CPU、GPU 及存儲(chǔ)芯片,AI 科技革命驅(qū)動(dòng)先進(jìn)制程產(chǎn)能需求的提升將帶動(dòng)全球晶圓代工需求增長。



作為中國半導(dǎo)體產(chǎn)業(yè)內(nèi)循環(huán)的重心以及中國大陸唯一具備先進(jìn)制程產(chǎn)能的晶圓廠,中芯國際的重要性與投資價(jià)值日益凸顯,在未來有望成為 AI 趨勢的奠基者。



從中長期看,愈加廣泛的數(shù)字化、智能化和綠色化的時(shí)代,對芯片的需求依然在持續(xù)增長。



公司將順勢而為,把握產(chǎn)業(yè)規(guī)律,夯實(shí)細(xì)分領(lǐng)域領(lǐng)先優(yōu)勢,加強(qiáng)與全球客戶和系統(tǒng)公司的中長期捆綁合作,推動(dòng)產(chǎn)業(yè)鏈上下游合作共贏。



4.2. 估值比較與總結(jié)



公司是晶圓代工企業(yè),屬于資金密集型企業(yè)和技術(shù)密集型企業(yè),前期投入大,業(yè)績跟隨行業(yè)景氣度變化,并且受折舊等影響較大,利潤端波動(dòng)相對較大,因此適合 PB 估值。



一方面,我們選取全球代工龍頭臺積電、A 股上市 IDM 企業(yè)華潤微及晶圓代工企業(yè)士蘭微作為可比公司,對應(yīng) 2022 年 P/B 分別為 4.76、3.82、6.78 倍,均值為 5.09 倍,中芯國際 2022 年對應(yīng) P/B 為 3.73 倍,低于可比公司平均值。



另一方面,中芯國際對應(yīng) 2023 年預(yù)測 P/B 為 3.57 倍,位于上市以來較低水平。



我們預(yù)計(jì) 2023 年下半年半導(dǎo)體產(chǎn)業(yè)鏈有望觸底回暖,同時(shí) AI 對高算力芯片和高制程晶圓的需求提升,我們認(rèn)為,中芯國際作為中國大陸晶圓代工領(lǐng)頭羊,產(chǎn)業(yè)鏈地位不容忽視。



5. 風(fēng)險(xiǎn)提示



(1)成熟制程產(chǎn)能過剩風(fēng)險(xiǎn);



2021 年以來,由于下游需求旺盛,晶圓廠擴(kuò)產(chǎn)帶動(dòng)設(shè)備廠商積極擴(kuò)產(chǎn),但在 2021 下半年出現(xiàn)一定設(shè)備交期延長情況。考慮到中芯國際在 2023 -2025 年積極擴(kuò)產(chǎn),如果設(shè)備交期不及預(yù)期,可能將對中芯國際產(chǎn)能爬坡產(chǎn)生一定不利影響。



(2)成熟制程產(chǎn)能過剩風(fēng)險(xiǎn)



由于 2021 年以來代工廠紛紛擴(kuò)產(chǎn),由于全球大部分?jǐn)U產(chǎn)產(chǎn)能集中于成熟制程,據(jù)統(tǒng)計(jì),2023 年將迎來產(chǎn)能集中釋放期??紤]到 2023 年行業(yè)景氣度偏弱,因此 2023 年及之后全球成熟制程產(chǎn)能有一定過剩風(fēng)險(xiǎn)。



(3)受實(shí)體清單影響加劇,先進(jìn)制程 NTO 項(xiàng)目進(jìn)展不及預(yù)期



自 20Q4 以來,受到美國實(shí)體清單影響,中芯國際先進(jìn)制程發(fā)展遇到一定阻力,雖然中芯國際目前擁有 14nmFinFET 及 N+1/N+2 等技術(shù)積累,但僅能供應(yīng) 14nm 及以上制程節(jié)點(diǎn),一旦實(shí)體清單影響加劇,中芯國際先進(jìn)制程發(fā)展及在開展中的十余個(gè) 14nm NTO 項(xiàng)目均有可能受阻。



(4)下游需求回暖不及預(yù)期風(fēng)險(xiǎn)



2022 年,智能手機(jī)、電腦、家用電器等市場需求由凍暖轉(zhuǎn)冷,客戶下單意愿明顯的減弱,產(chǎn)業(yè)鏈從供不應(yīng)求進(jìn)入去庫存下行周期。



根據(jù)公司 2022 年業(yè)績說明會(huì),展望 2023 年,智能手機(jī)和消費(fèi)電子行業(yè)回暖需要時(shí)間,工業(yè)領(lǐng)域相對穩(wěn)健,汽車行業(yè)電子增量需求經(jīng)可以抵消部分手機(jī)和消費(fèi)電子疲弱的負(fù)面影響。



上半年行業(yè)周期尚在底部,外部不確定因素帶來的影響依然復(fù)雜。雖然下半年可見度依然不高,但公司已經(jīng)感受到客戶信心的些許回升,新產(chǎn)品流片的儲(chǔ)備相對飽滿。若下半年行業(yè)需求回暖不及預(yù)期,將對公司業(yè)績造成一定影響。




關(guān)鍵詞: 半導(dǎo)體代工 市場分析

評論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉