新聞中心

Lattice MXO2: 點亮LED燈

—— FPGA學(xué)習(xí):點亮LED
作者: 時間:2023-10-17 來源:電子森林 收藏

恭喜你拿到我們的開發(fā)板,在這個系列教程里你將更深入學(xué)習(xí)的設(shè)計同時更深入了解我們的。如果你還沒有開始使用,也可以從這里一步一步開始你的可編程邏輯學(xué)習(xí)。請先到云盤準備好軟硬件文檔,因為的設(shè)計是和硬件息息相關(guān),會經(jīng)常用到這些文檔。你還必須先安裝好Diamond設(shè)計工具,這是用小腳丫STEP-MXO2必須用到的。

本文引用地址:http://www.butianyuan.cn/article/202310/451640.htm

1. 硬件說明


STEP-MXO2 V2開發(fā)板雖然很小巧,上面也集成了不少外設(shè),在本實驗里我們就看看如何用控制簡單外設(shè),如何用按鍵或者開關(guān)控制的亮和滅。

這是開發(fā)板上的8個紅色,1~8信號連接到FPGA的引腳,作為FPGA輸出信號控制。當FPGA輸出低電平時LED變亮,當FPGA輸出高電平時LED熄滅。

這是開發(fā)板上4個按鍵和4個開關(guān),Key1~4是按鍵控制信號,SW1~4是開關(guān)控制信號,都連接到FPGA的引腳,作為FPGA的輸入信號。當按鍵斷開時,F(xiàn)PGA輸入為高電平,當按鍵按下時,F(xiàn)PGA輸入為低電平;當開關(guān)斷開(OFF)時,F(xiàn)PGA輸入為低電平,當開關(guān)合上(ON)時,F(xiàn)PGA輸入為高電平。
所以我們可以用開關(guān)或者按鍵來控制LED的亮滅。

2. Verilog代碼


// ********************************************************************
// >>>>>>>>>>>>>>>>>>>>>>>>> COPYRIGHT NOTICE <<<<<<<<<<<<<<<<<<<<<<<<<
// ********************************************************************
// File name    : LED.v
// Module name  : LED
// Author       : STEP
// Description  : control LED
// Web          : www.stepfpga.com
// 
// --------------------------------------------------------------------
// Code Revision History : 
// --------------------------------------------------------------------
// Version: |Mod. Date:   |Changes Made:// V1.0     |2017/03/02   |Initial ver
// --------------------------------------------------------------------
// Module Function:利用按鍵和開關(guān)的狀態(tài)來控制LED燈的亮滅。 
module LED (key,sw,led); 	
        input [3:0] key;						//按鍵輸入信號
	input [3:0] sw;							//開關(guān)輸入信號
	output [7:0] led;						//輸出信號到LED 	
	assign led = {key,sw};                                          
	//assign連續(xù)賦值。大括號是拼接符,表示把key和sw拼接組成一個新的8位數(shù)賦值給led 
	endmodule

3. 引腳分配


綜合(synthesize)完成之后一定要配置FPGA的引腳到相應(yīng)的外設(shè),這樣下載FPGA程序后才能達到我們想要的效果。

 

4. 小結(jié)


下載完程序后就可以實現(xiàn)按鍵開關(guān)控制LED燈的亮滅。了解小腳丫STEP-MXO2 V2上的外設(shè)LED、按鍵和開關(guān)的使用。



關(guān)鍵詞: LED FPGA Lattice Diamond 小腳丫

評論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉