首頁  資訊  商機(jī)   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
EEPW首頁 >> 主題列表 >> hdl

Verilog HDL基礎(chǔ)知識9之代碼規(guī)范示例

  • 2.Verilog HDL 代碼規(guī)范 模板示例//******************************************************** // //   Copyright(c)2016, ECBC  //   All rights reserved // //   File name    
  • 關(guān)鍵字: FPGA  verilog HDL  代碼規(guī)范  

Verilog HDL基礎(chǔ)知識9之代碼規(guī)范

  • 1.RTL CODE 規(guī)范1.1標(biāo)準(zhǔn)的文件頭在每一個版塊的開頭一定要使用統(tǒng)一的文件頭,其中包括作者名,模塊名,創(chuàng)建日期,概要,更改記錄,版權(quán)等必要信息。 統(tǒng)一使用以下的文件頭:其中*為必需的項(xiàng)目//******************************************************** // //   Copyright(c)2016, ECBC  //   All rights&nbs
  • 關(guān)鍵字: FPGA  verilog HDL  代碼規(guī)范  

Verilog HDL基礎(chǔ)知識8之綜合語句

  • 可綜合語句1.要保證Verilog HDL賦值語句的可綜合性,在建模時應(yīng)注意以下要點(diǎn):2.不使用initial。3.不使用#10。4.不使用循環(huán)次數(shù)不確定的循環(huán)語句,如forever、while等。5.不使用用戶自定義原語(UDP元件)。6.盡量使用同步方式設(shè)計(jì)電路。7.除非是關(guān)鍵路徑的設(shè)計(jì),一般不采用調(diào)用門級元件來描述設(shè)計(jì)的方法,建議采用行為語句來完成設(shè)計(jì)。8.用always過程塊描述組合邏輯,應(yīng)在敏感信號列表中列出所有的輸入信號。9.所有的內(nèi)部寄存器都應(yīng)該能夠被復(fù)位,在使用FPGA實(shí)現(xiàn)設(shè)計(jì)時,應(yīng)盡量使
  • 關(guān)鍵字: FPGA  verilog HDL  綜合語句  

Verilog HDL基礎(chǔ)知識7之模塊例化

  • Verilog使用模塊(module)的概念來代表一個基本的功能塊。一個模塊可以是一個元件,也可以是低層次模塊的組合。常用的設(shè)計(jì)方法是使用元件構(gòu)建在設(shè)計(jì)中多個地方使用的功能塊,以便進(jìn)行代碼重用。模塊通過接口(輸入和輸出)被高層的模塊調(diào)用,但隱藏了內(nèi)部的實(shí)現(xiàn)細(xì)節(jié)。這樣就使得設(shè)計(jì)者可以方便地對某個模塊進(jìn)行修改,而不影響設(shè)計(jì)的其他部分。在verilog中,模塊聲明由關(guān)鍵字module開始,關(guān)鍵字endmodule則必須出現(xiàn)在模塊定義的結(jié)尾。每個模塊必須具有一個模塊名,由它唯一地標(biāo)識這個模塊。模塊的端口列表則描述
  • 關(guān)鍵字: FPGA  verilog HDL  模塊例化  

Verilog HDL基礎(chǔ)知識6之語法結(jié)構(gòu)

  • 雖然 Verilog 硬件描述語言有很完整的語法結(jié)構(gòu)和系統(tǒng),這些語法結(jié)構(gòu)的應(yīng)用給設(shè)計(jì)描述帶來很多方便。但是 Verilog是描述硬件電路的,它是建立在硬件電路的基礎(chǔ)上的。有些語法結(jié)構(gòu)是不能與實(shí)際硬件電路對應(yīng)起來的,比如 for 循環(huán),它是不能映射成實(shí)際的硬件電路的,因此,Verilog 硬件描述語言分為可綜合和不可綜合語言。下面我們就來簡單的介紹一下可綜合與不可綜合。(1) 所謂可綜合,就是我們編寫的Verilog代碼能夠被綜合器轉(zhuǎn)化為相應(yīng)的電路結(jié)構(gòu)。因此,我們常用可綜合語句來描述數(shù)字硬件電路。(2) 所
  • 關(guān)鍵字: FPGA  verilog HDL  語法結(jié)構(gòu)  

Verilog HDL基礎(chǔ)知識4之阻塞賦值 & 非阻塞賦值

  • 阻塞賦值語句串行塊語句中的阻塞賦值語句按順序執(zhí)行,它不會阻塞其后并行塊中語句的執(zhí)行。阻塞賦值語句使用“=”作為賦值符。  例子 阻塞賦值語句  reg x, y, z;  reg [15:0] reg_a, reg_b;  integer count;   // 所有行為語句必須放在 initial 或 always 塊內(nèi)部  initial  begin          x
  • 關(guān)鍵字: FPGA  verilog HDL  阻塞賦值  非阻塞賦值  

Verilog HDL基礎(chǔ)知識4之wire & reg

  • 簡單來說硬件描述語言有兩種用途:1、仿真,2、綜合。對于wire和reg,也要從這兩個角度來考慮。\從仿真的角度來說,HDL語言面對的是編譯器(如Modelsim等),相當(dāng)于軟件思路。 這時: wire對應(yīng)于連續(xù)賦值,如assignreg對應(yīng)于過程賦值,如always,initial\從綜合的角度來說,HDL語言面對的是綜合器(如DC等),要從電路的角度來考慮。 這時:1、wire型的變量綜合出來一般是一根導(dǎo)線;2、reg變量在always塊中有兩種情況:(1)、always后的敏感表中是(a or b
  • 關(guān)鍵字: FPGA  verilog HDL  wire  reg  

Verilog HDL基礎(chǔ)知識3之抽象級別

  • Verilog可以在三種抽象級別上進(jìn)行描述:行為級模型、RTL級模型和門級模型。行為級(behavior level)模型的特點(diǎn)如下。1、它是比較高級的模型,主要用于testbench。2、它著重于系統(tǒng)行為和算法描述,不在于系統(tǒng)的電路實(shí)現(xiàn)。3、它不可以綜合出門級模型。4、它的功能描述主要采用高級語言結(jié)構(gòu),如module、always、initial、fork/join/task、function、for、repeat、while、wait、event、if、case、@等。RTL級(register tr
  • 關(guān)鍵字: FPGA  verilog HDL  抽象級別  

Verilog HDL基礎(chǔ)知識2之運(yùn)算符

  • Verilog HDL 運(yùn)算符介紹算術(shù)運(yùn)算符首先我們介紹的是算術(shù)運(yùn)算符,所謂算術(shù)邏輯運(yùn)算符就是我們常說的加、減、乘、除等,這類運(yùn)算符的抽象層級較高,從數(shù)字邏輯電路實(shí)現(xiàn)上來看,它們都是基于與、或、非等基礎(chǔ)門邏輯組合實(shí)現(xiàn)的,如下。/是除法運(yùn)算,在做整數(shù)除時向零方向舍去小數(shù)部分。%是取模運(yùn)算,只可用于整數(shù)運(yùn)算,而其他操作符既可用于整數(shù)運(yùn)算,也可用于實(shí)數(shù)運(yùn)算。例子:我們在生成時鐘的時候,必須需選擇合適的timescale和precision。當(dāng)我們使用“PERIOD/2”計(jì)算延遲的時候,必須保證除法不會舍棄小數(shù)部
  • 關(guān)鍵字: FPGA  verilog HDL  運(yùn)算符  

Verilog HDL簡介&基礎(chǔ)知識1

  • Verilog 是 Verilog HDL 的簡稱,Verilog HDL 是一種硬件描述語言(HDL:Hardware Description Language),硬件描述語言是電子系統(tǒng)硬件行為描述、結(jié)構(gòu)描述、數(shù)據(jù)流描述的語言。利用這種語言,數(shù)字電路系統(tǒng)的設(shè)計(jì)可以從頂層到底層(從抽象到具體)逐層描述自己的設(shè)計(jì)思想,用一系列分層次的模塊來表示極其復(fù)雜的數(shù)字系統(tǒng)。然后,利用電子設(shè)計(jì)自動化(EDA)工具,逐層進(jìn)行仿真驗(yàn)證,再把其中需要變?yōu)閷?shí)際電路的模塊組合,經(jīng)過自動綜合工具轉(zhuǎn)換到門級電路網(wǎng)表。接下去,再用專用
  • 關(guān)鍵字: FPGA  verilog HDL  EDA  

實(shí)驗(yàn)22 4位串行累加器

  • 實(shí)驗(yàn)?zāi)康模?)熟悉和掌握FPGA開發(fā)流程和Lattice Diamond軟件使用方法;(2)通過實(shí)驗(yàn)了解累加器的意義及原理方法(3)掌握使用Verilog HDL語言基于FPGA實(shí)現(xiàn)累加器的原理及實(shí)現(xiàn)方法實(shí)驗(yàn)任務(wù)設(shè)計(jì)一個4位串行累加器,電路原理框圖如圖所示,在開關(guān)K處設(shè)置串行輸入數(shù)據(jù),在CP端輸入8個脈沖,將完成一次,兩個四位串行數(shù)據(jù)的相加,結(jié)果存D-A中。實(shí)驗(yàn)原理根據(jù)上述電路框圖,可以分割系統(tǒng)任務(wù)。累加器是一個具有特殊功能的二進(jìn)制寄存器,可以存放計(jì)算產(chǎn)生的中間結(jié)果,省去了計(jì)算單元的讀取操作,能加快計(jì)算單
  • 關(guān)鍵字: 累加器  FPGA  Lattice Diamond  Verilog HDL  

實(shí)驗(yàn)21:智力競賽搶答器

  • 實(shí)驗(yàn)?zāi)康模?)熟悉和掌握FPGA開發(fā)流程和Lattice Diamond軟件使用方法;(2)通過實(shí)驗(yàn)理解和掌握搶答器原理;(3)學(xué)習(xí)用Verilog HDL描述方法描述搶答器。實(shí)驗(yàn)任務(wù)本實(shí)驗(yàn)的任務(wù)是設(shè)計(jì)一個智力競賽搶答器,帶復(fù)位和主持人控制功能。一共4組選手,用開關(guān)k1,k2,k3,k4表示主持人復(fù)位開始搶答,獲得搶答的選手顯示對應(yīng)led,答題時間超過30秒報警每位選手初始分?jǐn)?shù)5分(RESET復(fù)位),主持人控制加分減分按鍵,每次增加或減少1分(最多9分),答題選手分?jǐn)?shù)顯示在數(shù)碼管實(shí)驗(yàn)原理根據(jù)搶答器的功能,
  • 關(guān)鍵字: 搶答器  FPGA  Lattice Diamond  Verilog HDL  

實(shí)驗(yàn)20:步進(jìn)電機(jī)2

  • 實(shí)驗(yàn)?zāi)康模?)熟悉和掌握FPGA開發(fā)流程和Lattice Diamond軟件使用方法;(2)通過實(shí)驗(yàn)理解和掌握步進(jìn)電機(jī)技術(shù)與實(shí)現(xiàn)方法;(3)學(xué)習(xí)用Verilog HDL行為描述方法描述步進(jìn)電機(jī)。實(shí)驗(yàn)任務(wù)本實(shí)驗(yàn)的任務(wù)設(shè)計(jì)一個步進(jìn)電機(jī)運(yùn)行控制電路,A、B、C、D分別表示步進(jìn)電機(jī)的四相繞組,步進(jìn)電機(jī)按四相四拍的方式運(yùn)行。如要求電機(jī)正傳時,控制端T=1,電機(jī)的四相繞組的通電順序?yàn)锳C—DA—BD—CB—AC……如要求電機(jī)反傳時,控制端T=0,電機(jī)的四相繞組的通電順序?yàn)锳C—CB—BD—DA—AC……。實(shí)驗(yàn)原理為了
  • 關(guān)鍵字: 步進(jìn)電機(jī)  FPGA  Lattice Diamond  Verilog HDL  

實(shí)驗(yàn)19:步進(jìn)電機(jī)1

  • 實(shí)驗(yàn)?zāi)康模?)熟悉和掌握FPGA開發(fā)流程和Lattice Diamond軟件使用方法;(2)通過實(shí)驗(yàn)理解和掌握步進(jìn)電機(jī)的原理和設(shè)計(jì)方法;(3)學(xué)習(xí)用Verilog HDL描述一個步進(jìn)電機(jī)電路。實(shí)驗(yàn)任務(wù)本實(shí)驗(yàn)的任務(wù)是設(shè)計(jì)控制四相繞組的步進(jìn)電機(jī)電機(jī)正轉(zhuǎn)、反轉(zhuǎn)、停止的控制電路。要求如下:電機(jī)運(yùn)轉(zhuǎn)規(guī)律為:正轉(zhuǎn)30s→停10s→反轉(zhuǎn)30s→停10s→正轉(zhuǎn)30s……實(shí)驗(yàn)原理步進(jìn)電機(jī)是將電脈沖信號轉(zhuǎn)變?yōu)榻俏灰苹蚓€位移的開環(huán)控制元步進(jìn)電機(jī)件。當(dāng)電流流過定子繞組時,定子繞組產(chǎn)生一矢量磁場。該磁場會帶動轉(zhuǎn)子旋轉(zhuǎn)一角度,使得轉(zhuǎn)
  • 關(guān)鍵字: 步進(jìn)電機(jī)  FPGA  Lattice Diamond  Verilog HDL  

實(shí)驗(yàn)18:秒表計(jì)數(shù)器

  • 實(shí)驗(yàn)?zāi)康模?)熟悉和掌握FPGA開發(fā)流程和Lattice Diamond軟件使用方法;(2)通過實(shí)驗(yàn)理解和掌握計(jì)數(shù)器原理;(3)掌握用Verilog HDL數(shù)據(jù)流和行為級描述寄存器單元的方法。實(shí)驗(yàn)任務(wù)設(shè)計(jì)簡單秒表(60進(jìn)制),并要求帶啟動、復(fù)位、暫停功能。實(shí)驗(yàn)原理如下所示,秒表(60進(jìn)制)即顯示從00到59循環(huán)跳轉(zhuǎn)計(jì)數(shù)。并且通過開關(guān)設(shè)置,達(dá)到復(fù)位至00,任意時刻暫停和啟動的功能。我們通過將開發(fā)板的12M晶振分頻(參考分頻程序)出1Hz的計(jì)時頻率,實(shí)現(xiàn)秒鐘的效果。將clk_1s的上升沿作為觸發(fā)信號計(jì)時。通過
  • 關(guān)鍵字: 秒表計(jì)數(shù)器  FPGA  Lattice Diamond  Verilog HDL  
共97條 1/7 1 2 3 4 5 6 7 »

hdl介紹

 HDL(Hardware Description Language),是硬件描述語言。顧名思義,硬件描述語言就是指對硬件電路進(jìn)行行為描述、寄存器傳輸描述或者結(jié)構(gòu)化描述的一種新興語言。   主流的HDL分為VHDL和Verilog HDL。VHDL誕生于1982年。在1987年底,VHDL被IEEE和美國國防部確認(rèn)為標(biāo)準(zhǔn)硬件描述語言。自IEEE公布了VHDL的標(biāo)準(zhǔn)版本,IEEE- 1076(簡稱 [ 查看詳細(xì) ]

熱門主題

Active-HDL    VHDL.Programming    .Verilog.HDL.    Verilog-Hdl    (HDL)    X-HDL    Verylog-HDL    VHDL-AMS    樹莓派    linux   
關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機(jī)EEPW
Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473