首頁  資訊  商機   下載  拆解   高校  招聘   雜志  會展  EETV  百科   問答  電路圖  工程師手冊   Datasheet  100例   活動中心  E周刊閱讀   樣片申請
EEPW首頁 >> 主題列表 >> hdl

用硬件描述語言設(shè)計復(fù)雜數(shù)字電路的優(yōu)點

  • 以前的數(shù)字邏輯電路及系統(tǒng)的規(guī)模的比較小而且簡單,用電路原理圖輸入法基本足夠了。但是一般工程師需要手工布線,需要熟悉器件的內(nèi)部結(jié)構(gòu)和外部引線特點,才能達到設(shè)計要求,這個工作量和設(shè)計周期都不是我們能想象的?,F(xiàn)在設(shè)計要求的時間和周期都很短,用原理圖這個方法顯然就不符合實際了。
  • 關(guān)鍵字: Verilog  HDL  虛擬接口聯(lián)盟  

HDL語言種類

  • HDL 語言在國外有上百種。高等學(xué)校、科研單位、 EDA 公司都有自己的 HDL 語言。現(xiàn)選擇較有影響的作簡要介紹。
  • 關(guān)鍵字: HDL  VHDL  種類  

Verilog HDL和VHDL的比較

  • 這兩種語言都是用于數(shù)字電子系統(tǒng)設(shè)計的硬件描述語言,而且都已經(jīng)是 IEEE 的標(biāo)準(zhǔn)。 VHDL 1987 年成為標(biāo)準(zhǔn),而 Verilog 是 1995 年才成為標(biāo)準(zhǔn)的。這個是因為 VHDL 是美國軍方組織開發(fā)的,而 Verilog 是一個公司的私有財產(chǎn)轉(zhuǎn)化而來的。為什么 Verilog 能成為 IEEE 標(biāo)準(zhǔn)呢?它一定有其優(yōu)越性才行,所以說 Verilog 有更強的生命力。
  • 關(guān)鍵字: Verilog  VHDL  HDL  

CPLD/FPGA在數(shù)字通信系統(tǒng)的應(yīng)用

  • 1 引言近年來,由于微電子學(xué)和計算機技術(shù)的迅速發(fā)展,給EDA技術(shù)行業(yè)帶來了巨大的變化。 HDL(hardware description language)硬件描述語言是一種描述電路行為的
  • 關(guān)鍵字: Verilog  CPLD  FPGA  HDL  漢明碼  

FPGA協(xié)處理器實現(xiàn)代碼加速的設(shè)計

  • 本文主要研究了代碼加速和代碼轉(zhuǎn)換到硬件協(xié)處理器的方法。我們還分析了通過一個涉及到基于輔助處理器單元(APU)的實際圖像顯示案例的基準(zhǔn)數(shù)據(jù)均衡決策的過程。該設(shè)計使用了在一個平臺FPGA中實現(xiàn)的一個嵌入式PowerPC。
  • 關(guān)鍵字: 協(xié)處理器  代碼加速  HDL  

Verilog HDL硬件描述語言:task和function說明語句的區(qū)別

  • task和function說明語句的區(qū)別task和function說明語句分別用來定義任務(wù)和函數(shù)。利用任務(wù)和函數(shù)可以把一個很大的程序模塊分解成許多較小的任務(wù)和函數(shù)便于理解和調(diào)試。輸入、輸出和總線信號的值可以傳入或傳出任務(wù)和函
  • 關(guān)鍵字: function  Verilog  task  HDL    

Verilog HDL設(shè)計進階:有限狀態(tài)機的設(shè)計原理及其代碼風(fēng)格

  • 由于Verilog HDL和 VHDL 行為描述用于綜合的歷史還只有短短的幾年,可綜合風(fēng)格的Verilog HDL 和VHDL的語法只是它們各自語言的一個子集。又由于HDL的可綜合性研究近年來非?;钴S,可綜合子集的國際標(biāo)準(zhǔn)目前尚未最后形
  • 關(guān)鍵字: Verilog  HDL  進階  代碼    

基于FPGA的自適應(yīng)均衡器的研究與設(shè)計

  • 摘要:近年來,自適應(yīng)均衡技術(shù)在通信系統(tǒng)中的應(yīng)用日益廣泛,利用自適應(yīng)均衡技術(shù)在多徑環(huán)境中可以有效地提高數(shù)字接收機的性能。為了適應(yīng)寬帶數(shù)字接收機的高速率特點,本文闡述了自適應(yīng)均衡器的原理并對其進行改進。最
  • 關(guān)鍵字: 自適應(yīng)均衡器  寬帶數(shù)字接收機  FPGA  Verilog HDL  

基于FPGA的高速長線陣CCD驅(qū)動電路

  • 高速長線陣CCD(電荷耦合器)具有低功耗,小體積,高精度等優(yōu)勢,廣泛應(yīng)用于航天退掃系統(tǒng)中的圖像數(shù)據(jù)采集。而CCD驅(qū)動電路設(shè)計是CCD正常工作的關(guān)鍵問題之一,CCD驅(qū)動信號時序是一組相位要求嚴(yán)格的脈沖信號,只有時序信
  • 關(guān)鍵字: CCD  線陣  FPGA  verilog HDL  

基于Verilog HDL的SVPWM算法的設(shè)計與仿真

  • 摘要:空間矢量脈寬調(diào)制算法是電壓型逆變器控制方面的研究熱點,廣泛應(yīng)用于三相電力系統(tǒng)中。基于硬件的FPGA/CPLD芯片能滿足該算法對處理速度、實時性、可靠性較高的要求,本文利用Verilog HDL實現(xiàn)空間矢量脈寬調(diào)制算
  • 關(guān)鍵字: 同步電動機  電壓型逆變器  Verilog HDL  

一種高效網(wǎng)絡(luò)接口的設(shè)計

  • 為了得到比傳統(tǒng)片上網(wǎng)絡(luò)的網(wǎng)絡(luò)資源接口(NI)更高的數(shù)據(jù)傳輸效率和更加穩(wěn)定的數(shù)據(jù)傳輸效果,提出了一種新的高效網(wǎng)絡(luò)接口的設(shè)計方法,并采用Verilog HDL語言對相關(guān)模塊進行編程,實現(xiàn)了高效傳輸功能,同時又滿足核內(nèi)路由的設(shè)計要求。最終通過仿真軟件Xilinx ISE Design Suite 12.3和ModelSim SE 6.2b得到了滿足設(shè)計要求的仿真結(jié)果。
  • 關(guān)鍵字: 片上網(wǎng)絡(luò)  網(wǎng)絡(luò)資源接口  核內(nèi)路由  Verilog HDL  

混合同余法產(chǎn)生隨機噪聲的FPGA實現(xiàn)

  • 混合同余法產(chǎn)生隨機噪聲的FPGA實現(xiàn),摘要:隨著電子對抗技術(shù)的快速發(fā)展,在有源式干擾機中需要用到數(shù)字高斯白噪聲。通過對混合同余法產(chǎn)生隨機序列的原理研究,本文提出了一種利用FPGA產(chǎn)生高斯白噪聲的方法。該方法在PC主控端的控制下,采用ROM查找表的方
  • 關(guān)鍵字: 高斯白噪聲  混合同余法  FPGA  Verilog HDL  

基于CPLD的LCD1602顯示系統(tǒng)設(shè)計與實現(xiàn)

  • 摘要:為了提高LCD1602顯示效果,增強抗擾能力,文章基于TOP2812開發(fā)板,依據(jù)LCD1602操作時序要求,在開發(fā)板CPLD部分實現(xiàn)了LCD1602顯示系統(tǒng)的設(shè)計。文中對
  • 關(guān)鍵字: LCD1602  顯示系統(tǒng)  時序  Vetilog HDL  

基于ModelSim的使用說明、技術(shù)文獻、應(yīng)用實例匯總

  •   Mentor公司的ModelSim是業(yè)界最優(yōu)秀的HDL語言仿真軟件,它能提供友好的仿真環(huán)境,是業(yè)界唯一的單內(nèi)核支持VHDL和Verilog混合仿真的仿真器。它采用直接優(yōu)化的編譯技術(shù)、Tcl/Tk技術(shù)、和單一內(nèi)核仿真技術(shù),編譯仿真速度快,編譯的代碼與平臺無關(guān),便于保護IP核,個性化的圖形界面和用戶接口,為用戶加快調(diào)錯提供強有力的手段,是FPGA/ASIC設(shè)計的首選仿真軟件。   淺析基于Modelsim FLI接口的協(xié)同仿真   介紹了如何利用modelsim提供的FLI(Foreign Langu
  • 關(guān)鍵字: HDL  ASIC  

基于CMOS或CCD圖像傳感器的經(jīng)典設(shè)計及技術(shù)文獻匯總

  •   圖像傳感器,或稱感光元件,是一種將光學(xué)圖像轉(zhuǎn)換成電子信號的設(shè)備,它被廣泛地應(yīng)用在數(shù)碼相機和其他電子光學(xué)設(shè)備中。早期的圖像傳感器采用模擬信號,如攝像管(video camera tube)。如今,圖像傳感器主要分為感光耦合元件(charge-coupled device, CCD)和互補式金屬氧化物半導(dǎo)體有源像素傳感器(CMOS Active pixel sensor)兩種。本文介紹基于CMOS或CCD兩種圖像傳感器的應(yīng)用及技術(shù)文獻,供大家參考。   基于USB傳輸及CMOS圖像傳感器的指紋識別儀的實
  • 關(guān)鍵字: Verilog HDL  QuartusⅡ  VHDL  
共97條 3/7 « 1 2 3 4 5 6 7 »

hdl介紹

 HDL(Hardware Description Language),是硬件描述語言。顧名思義,硬件描述語言就是指對硬件電路進行行為描述、寄存器傳輸描述或者結(jié)構(gòu)化描述的一種新興語言。   主流的HDL分為VHDL和Verilog HDL。VHDL誕生于1982年。在1987年底,VHDL被IEEE和美國國防部確認(rèn)為標(biāo)準(zhǔn)硬件描述語言。自IEEE公布了VHDL的標(biāo)準(zhǔn)版本,IEEE- 1076(簡稱 [ 查看詳細 ]

熱門主題

Active-HDL    VHDL.Programming    .Verilog.HDL.    Verilog-Hdl    (HDL)    X-HDL    Verylog-HDL    VHDL-AMS    樹莓派    linux   
關(guān)于我們 - 廣告服務(wù) - 企業(yè)會員服務(wù) - 網(wǎng)站地圖 - 聯(lián)系我們 - 征稿 - 友情鏈接 - 手機EEPW
Copyright ?2000-2015 ELECTRONIC ENGINEERING & PRODUCT WORLD. All rights reserved.
《電子產(chǎn)品世界》雜志社 版權(quán)所有 北京東曉國際技術(shù)信息咨詢有限公司
備案 京ICP備12027778號-2 北京市公安局備案:1101082052    京公網(wǎng)安備11010802012473