新聞中心

EEPW首頁(yè) > 手機(jī)與無(wú)線通信 > 設(shè)計(jì)應(yīng)用 > SOPC基礎(chǔ)上的數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)

SOPC基礎(chǔ)上的數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)

作者: 時(shí)間:2011-07-04 來(lái)源:網(wǎng)絡(luò) 收藏
3 FPGA硬件

本文引用地址:http://butianyuan.cn/article/156100.htm

Xilinx公司EDK(嵌入式開(kāi)發(fā)套件)的XPS(平臺(tái)工作室)的組建面板以展開(kāi)式的樹(shù)和表形式顯示所有硬件平臺(tái)IP實(shí)例,因此用戶可以方便地查看自己的嵌入式。在此面板中可以對(duì)IP元素、端口、屬性以及參數(shù)進(jìn)行配置。

3.1 用戶IP核

使用XPS進(jìn)行基于MicroBlaze的用戶IP核的開(kāi)發(fā),該套件集成了硬件平臺(tái)產(chǎn)生器、軟件平臺(tái)產(chǎn)生器、仿真模型生成器、軟件編譯器和軟件調(diào)試工具等。

為實(shí)現(xiàn)功能,需自定義一個(gè)IP核實(shí)現(xiàn)數(shù)據(jù)的采集與存儲(chǔ):該IP核包括五部分,分別為PLB總線接口模塊、模塊、數(shù)據(jù)緩存模塊、數(shù)據(jù)存儲(chǔ)模塊FLASH讀寫(xiě)控制邏輯。

(1)模塊:利用FPGA邏輯資源實(shí)現(xiàn)A/D采集電路的控制邏輯。在功能上,該AD控制邏輯相當(dāng)于一個(gè)主控制器。該控制過(guò)程無(wú)需處理器參與。另外AD控制邏輯與AD數(shù)據(jù)接口的分離,使得高速采集與發(fā)送數(shù)據(jù)成為可能。它連接兩片12位的8通道MAXIM1308轉(zhuǎn)換器,可實(shí)現(xiàn)多通道的。

(2)數(shù)據(jù)緩存模塊:在FPGA內(nèi)部設(shè)計(jì)FIFO將AD轉(zhuǎn)換后的數(shù)據(jù)暫時(shí)存放其中。FIFO是Xilinx以FPGA片上Blockram為資源生成,F(xiàn)IFO模塊的主要功能是數(shù)據(jù)緩沖,實(shí)現(xiàn)速率匹配。該異步FIFO模塊在FIFO讀、寫(xiě)控制模塊的控制之下進(jìn)行異步讀寫(xiě),F(xiàn)IFO的讀時(shí)鐘受數(shù)據(jù)存儲(chǔ)模塊控制,寫(xiě)時(shí)鐘受數(shù)據(jù)采集模塊的控制。FPGA內(nèi)部設(shè)計(jì)了兩片12位的FIFO與上述兩片AD轉(zhuǎn)換器相對(duì)應(yīng)。

(3)數(shù)據(jù)存儲(chǔ)模塊:對(duì)本系統(tǒng)使用VHDL語(yǔ)言專門(mén)設(shè)一個(gè)DMA控制器來(lái)連接FIFO緩存和外部FLASH存儲(chǔ)陣列。當(dāng)FIFO緩存容量達(dá)到一定的值時(shí)可以啟動(dòng)DMA控制器建立一個(gè)高速的通道以提供連續(xù)的數(shù)據(jù)傳輸,存儲(chǔ)到FPGA外部FLASH存儲(chǔ)器陣列。這個(gè)通道使得只要FIFO緩存中的數(shù)據(jù)達(dá)到一定的值時(shí)便能迅速地存儲(chǔ)到外部FLASH中,主設(shè)備不必為了確定從端口是否能夠發(fā)送或接收數(shù)據(jù)而不斷地訪問(wèn)從外設(shè)的狀態(tài)寄存器。這使得系統(tǒng)的整體效率有了較大的提高,同時(shí)避免了自定義外設(shè)FIFO中的數(shù)據(jù)上溢或下溢。并且該過(guò)程只需要Microblaze處理器很少的干預(yù)即可完成,極大地節(jié)省了CPU資源。

(4)FLASH讀寫(xiě)控制邏輯:主要實(shí)現(xiàn)K9XXG08UXA系列的NAND Flash存儲(chǔ)器的讀寫(xiě)時(shí)序,完成對(duì)FLASH的操作。

硬件系統(tǒng)設(shè)計(jì)過(guò)程中用戶IP核的設(shè)計(jì)是關(guān)鍵,它的設(shè)計(jì)是否成功決定著整個(gè)系統(tǒng)設(shè)計(jì)是否可行。在用戶IP核設(shè)計(jì)過(guò)程中AD控制器、FLASH控制器、DMA控制器的設(shè)計(jì)都比較成功的,圖3是對(duì)用戶IP核設(shè)計(jì)的部分驗(yàn)證:示波器捕獲的讀FLASH存儲(chǔ)器ID號(hào)時(shí)序圖,且實(shí)驗(yàn)證明讀出來(lái)的FLASH存儲(chǔ)器的ID號(hào)是正確的。其中通道0—4分別代表FLASH的CE(片選)、ALE(地址鎖存)、CLE(命令鎖存)、RE(讀)、WE(寫(xiě))信號(hào)。

3.2 設(shè)計(jì)生成FPGA硬件系統(tǒng)

在XPS嵌入式開(kāi)發(fā)環(huán)境中將用戶IP核和標(biāo)準(zhǔn)總線核添加到系統(tǒng)中并將它們互相按規(guī)則連接起來(lái)。XPS提供了一個(gè)交互式的開(kāi)發(fā)環(huán)境,允許用戶對(duì)硬件平臺(tái)各個(gè)方面進(jìn)行設(shè)置。其中,XPS在高層對(duì)硬件平臺(tái)描述進(jìn)行維護(hù),此高層形式即為微處理器硬件規(guī)范(MHS)文件。MHS文件作為一個(gè)可以編輯的文本文件,是表示用戶嵌入式系統(tǒng)硬件部分的主要源文件。XPS將MHS文件綜合到硬件描述語(yǔ)言網(wǎng)表中,用于FPGA的布局布線形成FPGA系統(tǒng)的硬件結(jié)構(gòu),該過(guò)程即是生成硬件比特流的階段,形成后綴名為.bit的文件。

4 結(jié)束語(yǔ)

本文介紹了基于的多通道數(shù)據(jù)采集系統(tǒng)設(shè)計(jì),可以對(duì)多種關(guān)心的環(huán)境參數(shù)進(jìn)行采集并研究其特性。利用MicroBlaze微處理器搭建數(shù)據(jù)此采集與存儲(chǔ)的嵌入式可編程片上系統(tǒng),可以由單個(gè)芯片完成整個(gè)系統(tǒng)的主要邏輯功能。便于系統(tǒng)實(shí)現(xiàn)小型化,集成化。


上一頁(yè) 1 2 下一頁(yè)

評(píng)論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉