新聞中心

EEPW首頁(yè) > EDA/PCB > 設(shè)計(jì)應(yīng)用 > 基于FPGA的循環(huán)冗余校驗(yàn)實(shí)驗(yàn)系統(tǒng)的實(shí)現(xiàn)

基于FPGA的循環(huán)冗余校驗(yàn)實(shí)驗(yàn)系統(tǒng)的實(shí)現(xiàn)

作者: 時(shí)間:2012-03-26 來(lái)源:網(wǎng)絡(luò) 收藏

2、其他部分的設(shè)計(jì)
(1)數(shù)據(jù)輸入電路部分:將其設(shè)計(jì)成為一個(gè)FIFO的數(shù)據(jù)緩存器,這樣做的目的,可以接收源源不斷傳來(lái)的數(shù)據(jù),另一方面考慮到可能傳輸出現(xiàn)差錯(cuò),可以從緩存將數(shù)據(jù)調(diào)出來(lái)重新傳輸一遍,直到正確傳輸為止,才刪去數(shù)據(jù)。
(2)顯示電路部分:輸入數(shù)據(jù)與輸出數(shù)據(jù)都可以采用數(shù)碼管來(lái)進(jìn)行顯示,通過(guò)數(shù)碼管顯示可以清楚地觀察到傳輸過(guò)程中數(shù)據(jù)傳輸?shù)臏?zhǔn)確性。傳輸過(guò)程出現(xiàn)的差錯(cuò)可以由接收端反饋,在發(fā)送端可以用LED燈進(jìn)行提示。
(3)按鍵消抖電路部分:由于設(shè)計(jì)采用開(kāi)關(guān)是機(jī)械開(kāi)關(guān)結(jié)構(gòu),因此在開(kāi)關(guān)切換的瞬間會(huì)在接觸點(diǎn)出現(xiàn)信號(hào)來(lái)回彈跳的現(xiàn)象?;赩HDL的按鍵消抖法主要有三種:電平檢測(cè)消抖法、定時(shí)檢測(cè)消抖法以及脈寬檢測(cè)消抖法。本系統(tǒng)采用定時(shí)檢測(cè)消抖法可以進(jìn)行按鍵的消抖。
至于時(shí)鐘電路,對(duì)于數(shù)碼顯示電路而言,需要額外提供一個(gè)較高頻率的掃描電路,其他的時(shí)鐘可以用普通的時(shí)鐘提供。
的實(shí)物圖如下:

本文引用地址:http://butianyuan.cn/article/190601.htm

b.jpg



四、結(jié)束語(yǔ)
基于以上的系統(tǒng)的架構(gòu)和主要的設(shè)計(jì)思想,我們通過(guò)兩臺(tái)EDA實(shí)驗(yàn)箱完成了CRC,模擬了現(xiàn)實(shí)的完整的包含發(fā)送、傳輸和接收模塊的系統(tǒng),實(shí)驗(yàn)證明,系統(tǒng)能夠完成CRC校驗(yàn),拓展了設(shè)備的功能,提高了設(shè)備的利用率,達(dá)到了設(shè)計(jì)的目的。

fpga相關(guān)文章:fpga是什么



上一頁(yè) 1 2 3 4 下一頁(yè)

評(píng)論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉