新聞中心

EEPW首頁 > EDA/PCB > 設計應用 > 基于FPGA的VGA圖象信號發(fā)生器設計

基于FPGA的VGA圖象信號發(fā)生器設計

作者: 時間:2009-07-27 來源:網(wǎng)絡 收藏

1、引言

本文引用地址:http://butianyuan.cn/article/191981.htm

(視頻圖形陣列)作為一種標準的顯示接口在視頻和計算機領域得到了廣泛的應用。圖像信號是電視臺、電視機生產企業(yè)、電視維修人員常用的儀器,其主要功能就是產生標準的圖像測試信號。

圖像信號的設計涉及到圖像數(shù)據(jù)的處理,對電路的工作速度和性能要求較高,VGA工業(yè)標準要求的時鐘頻率高達25MHz,使用傳統(tǒng)的電子電路設計方法是難以實現(xiàn)的。采用專用的視頻處理芯片,其設計技術難度大、開發(fā)成本高。本文采用+MCU方案,利用了Cyclone系列的高達上百兆的工作頻率特性為圖像數(shù)據(jù)處理提供了良好的實時性,其內部集成的數(shù)字鎖相環(huán)為系統(tǒng)的工作時鐘提供的良好的穩(wěn)定性,其內部嵌入的存儲器可以存儲一定容量的圖像信息,豐富的I/O資源可以隨即擴展外接大容量存儲器的特性,因此由 完成對圖像數(shù)據(jù)的處理及產生行場掃描時序信號。很好地實現(xiàn)了圖象數(shù)據(jù)處理的實時性和穩(wěn)定性,達到了性能與價格的完美統(tǒng)一。此外,F(xiàn)PGA的電路可重構性,為系統(tǒng)功能更改和升級以及功能擴展提供了很大的設計空間。由微控制器完成功能設置與控制,如鍵盤掃描,模式選擇與顯示控制等。

2、系統(tǒng)的工作原理和組成框圖

FPGA是整個系統(tǒng)的核心,通過對其編程可輸出RGB三基色信號和HS 、VS行場掃描同步信號。當 FPGA接受單片機輸出的控制信號后,內部的數(shù)據(jù)選擇器模塊根據(jù)控制信號選通相應的圖像生成模塊,輸出圖像信號,與行場掃描時序信號一起通過15針D型接口電路送入VGA顯示器,在VGA顯示器上便可以看到對應的彩色圖像。FPGA所需的工作時鐘由外部高精度有源晶振提供;單片機控制器分析鍵盤掃描結果,控制液晶顯示模塊顯示相應的功能,由LCD顯示輸出圖象和按鍵控制模式,并送出相應控制信號給FPGA,系統(tǒng)原理框圖如圖1。


3、VGA顯示器原理

工業(yè)標準的VGA顯示模式為:640×468×16色×60Hz。常見的彩色顯示器,一般由CRT (陰極射線管)構成,彩色是由R、G、B(紅、綠、藍)三基色組成,CRT用逐行掃描或隔行掃描的方式實現(xiàn)圖像顯示,由VGA控制模塊產生的水平同步信號和垂直同步信號控制陰極射線槍產生的電子束,打在涂有熒光粉的熒光屏上,產生R、G、B三基色,合成一個彩色像素。掃描從屏幕的左上方開始,由左至右,由上到下,逐行進行掃描,每掃完一行,電子束回到屏幕下一行的起始位置,在回掃期間,CRT對電子束進行消隱,每行結束是用行同步信號HS進行行同步;掃描完所有行,再由場同步信號VS進行場同步,并使掃描回到屏幕的左上方,同時進行場消隱,預備下一場的掃描。行同步信號HS 和場同步信號VS是兩個重要的信號。顯示過程中,HS 和VS的極性可正可負,顯示器內可自動轉換為正極性邏輯。

行同步信號HS和場同步信號VS的時序圖如圖2所示, T1為行同步消隱(約為6μs);T2為行顯示時間(約為26μs);T3為場同步消隱(兩個行周期);T4為場顯示時間(480個行周期)。

行同步信號HS和場同步信號VS與R、G、B的時序關系如圖3所示。

  對于VGA 顯示器的上述五個信號的時序驅動要嚴格遵循“VGA工業(yè)標準”,即640×480×60HZ模式,否則無法顯示正確地圖象。

VGA工業(yè)標準要求的頻率:

  時鐘頻率:25.175MHz(像素輸出的頻率)
  行頻: 31469Hz
  場頻: 59.94Hz(每秒圖像刷新頻率)
  顯示的顏色種類與表示R、G、B三基色的二進制數(shù)位數(shù)有關,表1列出了8種顏色的編碼方式。

4、系統(tǒng)設計

4.1 圖像信號產生模塊的設計

產生圖像信號的核心器件采用Altera公司的Cyclone FPGA芯片EP1C3T144C8N。它具有多達20060個邏輯單元。最大用戶I/O數(shù) 104個。器件中M4K存儲塊提供288kbit存儲容量,能夠被配置來支持多種操作模式,包括RAM、ROM、FIFO及單口和雙口模式。

Cyclone器件具有高級外部存儲器接口,允許設計者將外部單數(shù)據(jù)率(SDR)SDRAM,雙數(shù)據(jù)率(DDR)、SDRAM和 DDR FCRAM 器件集成到復雜系統(tǒng)設計中,而不會降低數(shù)據(jù)訪問的性能。并且還具有兩個可編程鎖相環(huán)(PLL)和八個全局時鐘線,能提供時鐘管理和頻率合成,實現(xiàn)最大的系統(tǒng)功能。根據(jù)VGA顯示原理,產生器的主要功能是:產生時序驅動信號HS、VS及VGA彩色圖象編碼信號,同時在正確的時序控制下,輸出ROM中的象素數(shù)據(jù)至顯示器的VGA接口,進行圖象顯示。FPGA內部電路原理結構如圖4。本文利用Altera公司QuartusⅡ6.0開發(fā)平臺,遵循自頂向下的設計方法,針對各功能模塊,采用VHDL語言對FPGA器件編程,產生HS和VS掃描時序信號及各種圖象信號。


VGA時序信號是圖象顯示的關鍵,行場掃描時序的產生,是利用邏輯編程的方法實現(xiàn)的,即用VHDL編寫分頻器,計時器模塊,來獲得T1、T2、T3、T4時序。當輸出數(shù)字、彩條信號和棋盤格圖象時,由外部12M有源晶振提供時鐘輸入,其中行頻HS:12MHZ ÷13÷29=31830Hz、場頻VS:31830Hz÷480×0.93=61.67Hz、T1=1/31830Hz×4/29=25.96us、T2=1/31830Hz×5/29=6.04us、T3為兩個行周期(T1+T2),T4為480個行周期。


圖象信號包括數(shù)字、彩條、棋盤格,和ROM中定制的圖形等。數(shù)字信號和彩條信號的產生是按行場方向將屏幕各進行8等分,相當于一個8×8的點陣,在對應位置顯示相應顏色即可獲得所需圖像信號;棋盤格信號是將橫彩條和豎彩條相異或獲得。ROM中定制的較為復雜的彩色圖像,需采用像素點輸出,即將圖像各像素點的信息存儲于ROM中,再以一定的頻率輸出。FPGA器件ROM的定制有兩種方法:第一種方法是利用FPGA器件的嵌入式存儲器定制LPM_ROM,用.MIF文件或.HEX文件對其進行初始化,這種方法獲得的ROM最大尋址空間為2 12,可以存儲一幅分辨率為64×64的圖像信息;第二種方法是在FPGA邏輯資源的限度內用VHDL語言定制一個ROM,采用CASE語句對其進行初始化,這種方法獲得的ROM在存儲深度較大時,編譯時對時間的開銷較大。ROM初始化完成后,在25MHz的時鐘頻率下輸出存儲的圖像信息。其圖象顏色種類的多少取決于存儲空間的大小。


上一頁 1 2 下一頁

評論


相關推薦

技術專區(qū)

關閉