新聞中心

EEPW首頁 > EDA/PCB > 設(shè)計應(yīng)用 > 基于FPGA的VGA圖象信號發(fā)生器設(shè)計

基于FPGA的VGA圖象信號發(fā)生器設(shè)計

作者: 時間:2009-07-27 來源:網(wǎng)絡(luò) 收藏

ROM定制的圖象信息是利用嵌入的存儲器定制LPM_ROM,可以用于存儲一幅64×64分辨率的圖像信息,數(shù)據(jù)線寬為3位,地址線12根,采用組合尋址方式,即行地址HSADDRESS占低6位,場地址VSADDRESS占高6位;若要顯示更為復雜的圖象信息,只需擴展存儲器及尋址的數(shù)據(jù)線寬度,為了保證行地址信號輸出與行掃描信號輸出同步,場地址信號輸出與場掃描信號輸出同步,在VHDL編程時,可用25MHz時鐘作為進程的啟動信號。輸出信號的時序波形如圖6所示。各種的輸出是由數(shù)據(jù)選擇器通過VHDL編程實現(xiàn)的。


4.2 視頻輸出接口電路部分設(shè)計

接口采用非對稱分布的15pin 連接方式,其工作原理是將顯存內(nèi)以數(shù)字格式存儲的圖像( 幀) 信號在RAMDAC 里經(jīng)過模擬調(diào)制成模擬高頻信號,然后進行輸出顯示,這樣信號就不必像其它視頻信號那樣還要經(jīng)過矩陣解碼電路的換算。從視頻成像原理可知的視頻傳輸過程是最短的,所以VGA 接口擁有許多的優(yōu)點,如無串擾、無電路合成分離損耗等。視頻輸出與VGA 接口如圖7。

4.3 模式控制與顯示部分設(shè)計

為了實現(xiàn)人機對話,模式控制與顯示即人機接口的設(shè)計,選用LCD顯示器和矩陣鍵盤,使接口和顯示更加友好。要求能根據(jù)鍵盤掃描結(jié)果,控制不同的輸出,并進行相應(yīng)的功能顯示。采用單片機89S51作為控制器,對鍵盤模塊和功能顯示模塊進行控制。用C語言編程,對鍵盤進行掃描和液晶顯示模塊的控制。當然也可以對器件編程,實現(xiàn)對鍵盤模塊和功能顯示模塊的控制。但需占用器件的邏輯資源,會對定制圖像信息的存儲空間造成影響。

本設(shè)計采用4×4矩陣式鍵盤,行、列線占用單片機8個I/O口資源,鍵盤掃描過程是列掃描行輸出,逐列掃描,讀取鍵值,根據(jù)讀回的值判斷所按鍵的位置,按鍵消抖采用延時消抖方式,根據(jù)鍵值跳轉(zhuǎn)執(zhí)行相應(yīng)功能程序。顯示器采用TS-12864-3液晶顯示屏,由單片機控制及驅(qū)動,顯示系統(tǒng)當前工作狀態(tài)等信息。

5、結(jié)束語

隨著數(shù)字圖像處理的應(yīng)用領(lǐng)域不斷擴大,其實時處理技術(shù)成為研究的熱點。EDA(電子設(shè)計自動化)技術(shù)的迅猛發(fā)展為數(shù)字圖像實時處理技術(shù)提供了硬件基礎(chǔ)。其中FPGA的特點使其非常適用于進行一些基于像素級的圖像處理。 本文設(shè)計的基于FPGA的數(shù)字圖像實時生成系統(tǒng),可以實現(xiàn)各種數(shù)字、文字、彩條、ROM圖像信號輸出。其圖像顯示控制器的系統(tǒng)處理耗時小于20ms,完全達到了實時要求(50場/秒)。所進行的原理試驗取得了良好的效果。

本系統(tǒng)可以作為顯示器測試信號,適用于顯示器生產(chǎn)廠或者維修人員 、計算機房、以及單位用戶、甚至個人用戶,進行無需連接主機的畫面演示、測試、檢查,和維修后調(diào)試,通過對標準圖形的觀察和分析,能夠判斷顯示器的總體性能或維修后效果。

本設(shè)計還可以作一些擴展,如添加語音處理電路,實現(xiàn)圖像輸出時同步輸出語音;還可以外接大容量存儲器,采用8位二進制數(shù)據(jù)表示R、G、B三基色,實現(xiàn)256色輸出,使顯示的圖像色彩更加豐富。


上一頁 1 2 下一頁

關(guān)鍵詞: FPGA VGA 圖象信號 發(fā)生器

評論


相關(guān)推薦

技術(shù)專區(qū)

關(guān)閉