新聞中心

EEPW首頁 > 嵌入式系統(tǒng) > 設計應用 > 按鍵消抖-脈沖邊緣檢測法

按鍵消抖-脈沖邊緣檢測法

作者: 時間:2016-11-26 來源:網(wǎng)絡 收藏
就是建立2個寄存器,形成二級寄存器,在時鐘觸發(fā)中,首先把數(shù)據(jù)送入第一個寄存器中,然后在下一個時鐘上沿到來時,將第一個寄存器中的數(shù)據(jù)存入第二個寄存器,也就是說第二個寄存器中的數(shù)據(jù)始終比第一個寄存器晚一個周期,即晚一個數(shù)據(jù),然后再將第一個寄存器中的數(shù)據(jù)取反與第二個寄存器的數(shù)據(jù)相與,產(chǎn)生的數(shù)存入一個新的寄存器里,這樣產(chǎn)生的結(jié)果是當?shù)谝粋€寄存器中的數(shù)據(jù)由1變?yōu)?時,就會在新的寄存器里產(chǎn)生一個高電平,并維持一個周期。

例1:

本文引用地址:http://butianyuan.cn/article/201611/322060.htm

reg[2:0] key_rst;

always @(posedge clkor negedge rst_n)
if (!rst_n) key_rst <= 3b111;
else key_rst <= {sw3_n,sw2_n,sw1_n};

reg[2:0] key_rst_r;//每個時鐘周期的上升沿將low_sw信號鎖存到low_sw_r中

always @ ( posedge clkor negedge rst_n )
if (!rst_n) key_rst_r <= 3b111;
else key_rst_r <= key_rst;
//當寄存器key_rst由1變?yōu)?時,led_an的值變?yōu)楦撸S持一個時鐘周期
wire[2:0] key_an = key_rst_r & ( ~key_rst);

例2:

//說明:當三個獨立按鍵的某一個被按下后,相應的LED被點亮;

//再次按下后,LED熄滅,按鍵控制LED亮滅

module sw_debounce(

clk,rst_n,

sw1_n,sw2_n,sw3_n,

led_d1,led_d2,led_d3

);

inputclk;//主時鐘信號,50MHz

inputrst_n;//復位信號,低有效

inputsw1_n,sw2_n,sw3_n; //三個獨立按鍵,低表示按下

outputled_d1,led_d2,led_d3;//發(fā)光二極管,分別由按鍵控制

//--------------------------------------------------------

reg[2:0] key_rst;

always @(posedge clkor negedge rst_n)

if (!rst_n) key_rst <= 3`b111;

else key_rst <= {sw3_n,sw2_n,sw1_n};

reg[2:0] key_rst_r;//每個時鐘周期的上升沿將low_sw信號鎖存到low_sw_r中

always @ ( posedge clkor negedge rst_n )

if (!rst_n) key_rst_r <= 3`b111;

else key_rst_r <= key_rst;

//當寄存器key_rst由1變?yōu)?時,led_an的值變?yōu)楦?,維持一個時鐘周期

wire[2:0] key_an = key_rst_r & ( ~key_rst);

//-----------------------------------------------------------------

reg[19:0]cnt;//計數(shù)寄存器

always @ (posedge clkor negedge rst_n)

if (!rst_n) cnt <= 20`d0;//異步復位

else if(key_an) cnt <=20`d0;

else cnt <= cnt + 1`b1;

reg[2:0] low_sw;

always @(posedge clkor negedge rst_n)

if (!rst_n) low_sw <= 3`b111;

else if (cnt == 20`hfffff) //滿20ms,將按鍵值鎖存到寄存器low_sw中cnt == 20hfffff

low_sw <= {sw3_n,sw2_n,sw1_n};

//---------------------------------------------------------------

reg[2:0] low_sw_r;//每個時鐘周期的上升沿將low_sw信號鎖存到low_sw_r

always @ ( posedge clkor negedge rst_n )

if (!rst_n) low_sw_r <= 3`b111;

else low_sw_r <= low_sw;

//當寄存器low_sw由1變?yōu)?時,led_ctrl的值變?yōu)楦?,維持一個時鐘周期

wire[2:0] led_ctrl = low_sw_r[2:0] & ( ~low_sw[2:0]);

reg d1;

reg d2;

reg d3;

always @(posedge clk or negedge rst_n)

if (!rst_n)

begin

d1 <= 1b0;

d2 <= 1b0;

d3 <= 1b0;end

else

begin//某個按鍵值變化時,LED將做亮滅翻轉(zhuǎn)

if ( led_ctrl[0] ) d1 <= ~d1;

if ( led_ctrl[1] ) d2 <= ~d2;

if ( led_ctrl[2] ) d3 <= ~d3;

end

assign led_d3 = d1 ? 1`b1 : 1`b0;//LED翻轉(zhuǎn)輸出

assign led_d2 = d2 ? 1`b1 : 1`b0;

assign led_d1 = d3 ? 1`b1 : 1`b0;

endmodule



關(guān)鍵詞: 按鍵消抖脈沖邊緣檢測

評論


技術(shù)專區(qū)

關(guān)閉